数字电路实验范例6篇

数字电路实验

数字电路实验范文1

王素芹 程连生 衡水职业技术学院 053000

本论文为衡水职业技术学院2013 年度院级重点课题“数字电路实验系统的研制”研究成果,课题编号131207

【文章摘要】

由于现有数字电路实验设备不能满足教学需要,购买实验设备存在连接不可靠,出现问题难以修复等问题,自行研究制作功能齐全,性能优越的数字电路实验系统,克服长期以来难以解决的实验教学难题。

【关键词】

数字电路;实验系统;多功能;研制

目前我院数字电路实验状况:

我院数字电路实验是在电工电子实验室和虚拟仿真实验室进行的,在虚拟仿真实验室主要的借助虚拟电子工作台进行仿真实验,利用软件做仿真实验主要优点是节省元器件,降低实验成本,主要缺点是比较抽象,学生看不到实物,离具体的工程实践相差甚远,对于提高学生的动手能力效果欠佳。鉴于此,我们还抽出较多的课时在电工电子实验室进行实际元器件的电路搭接实验,但是由于电工电子实验台比较少,只能是几个人一组进行实验,这样就会造成有的学生偷懒的现象。而且由于实验台上的电路板是塑料板,下面是由铜片进行连接的,学生做实验时反复的插线拔线就会造成铜片脱落,实验板上的插孔也容易松动,只要有一个接触点接触不好的话,就会对整个实验结果造成影响。所以我们要研制自己的数字电路实验系统,以满足我院数字电路实验教学的需要。

1 技术路线

采用理论分析、试验研究、设计制作的方法, 实现将分立实验集中到一个实验箱系统板, 使之既能完成基础验证型实验, 又为综合设计型实验提供实验平台. 研制多功能数字电路实验板的技术路线可用图1 表示:

2 技术指标

(1)直流电源输入电压:+5 V、±15V

(2)电路面板材料:玻纤。尺寸:长= 430mm ,宽= 310mm ,厚= 2 mm

3 数字电路实验系统板结构

电路面板结构如图2 所示。

4 数字电路实验系统板各部分功能

4.1 直流电源接线区:3 组独立直流稳压电源: +5V、GND、+15V、GND、- 15V、GND。均采用了集成三端稳压器进行稳压。电源除了给实验电路供电外, 还要作为本实验系统内各个部分的工作电源,因此选用金属封装,较易散热的LM7805,7812、7912 则采用了廉价的塑封型三端稳压器,这三种集成稳压器内部均设有可靠的短路、超温、限流等完善的保护措施。用于将外部直流电压引入到实验箱,外部直流电压通过电源保护二极管到达各个单元电路及面板中多个红色+5V 电压输出插孔,因此红色+5V 电压输出插孔中的电压通常比外部直流电压低0.3V 左右。

此外,实验板带有短路报警和过压报警功能。当电源开关处于“关”状态时,红色+5V 电压输出插孔仍有低电压输出(短路报警电路的需要),如果接线过程中导致+5V 和GND 出现短路,则开始声、光报警,当电源开关处于“开”状态时,短路报警失效;当电源开关处于“开”状态时,如果外部直流电压超过6.5V,则开始声、光报警。

4.2 数码管数字显示:2 个七段数码管,引脚全部引出,可采用共阴极或共阳极数码管;另有2 个BCD 码输入七段数码管(安装了显示译码器CD4511)。为了更换方便,均采用了IC 插座。

4.3 TTL 逻辑电平显示:对输出电平的高、低进行显示。输出电平为高时,红色发光二极管亮;输出电平为低时,绿色发光二极管亮。

4.4 TTL 逻辑电平输出:提供12 组TTL 逻辑电平输出,采用拨码开关控制, 拨上输出为高电平,拨下输出为低电平。

逻辑电平开关部分 选用优质的单刀双掷开关,向实验电路提供“0、1”电平信号,若八位开关不够用,可由DIP 封装的双列直插式微型多路开关在多孔实验插座扳上进行扩充。

4.5 单次脉冲、简单连续脉冲输出:脉冲输出均为TTL 逻辑电平。单次脉冲分为正、负两种单脉冲输出,采用白色按钮控制,发光二极管指示;简单连续脉冲分别为2Hz、8Hz、128Hz 和1024Hz ,发光二极管指示。

单次脉冲电路如图3 所示:

4.6 集成电路插座:采用标准紧锁插座,28 脚1 个、20 脚1 个、16 脚6 个、14 脚2 个,可插8 到28 脚的各种IC。各IC 插座的所有引脚(包括电源和地引脚) 全部引出,各IC 插座旁均有+5V、GND 插座为集成电路供电。

4.7 精密多圈可调电位器4 个:分别为1K、10K、47K、100K,采取三个插孔输出。

4.8 直流供电的四相步进电机、蜂鸣器、扬声器及驱动电路、直流继电器及驱动电路。

4.9 元件库:提供了很多不同值的电阻和电容、1 个32768 Hz 晶振、2 个二极管和1 个555 定时器。

4.10 逻辑笔功能:红色:高电平、绿色: 低电平、橙色:高阻。

4.11 扩展区:采用165×55 进口面包板1 块,可拆卸和更换,主要用于安装其它电路。

4.12 接插件:普通弹性插孔,配有高性能的插孔连接线;此外,在面包板旁配有与面包板接线兼容的黑色插座,同时黑色插座和部分弹性插孔已直接连接好,解决了电路面板上弹性插孔至面包板的连接问题。

【参考文献】

[1] 贾林科. 数字逻辑电路实验仪的研究与制作. [J] 价值工程.

[2] 张清等、朱雷平、崔厚梅. 多功能电路实验箱的研制. [J] 徐州工程学院学报,2006,12

数字电路实验范文2

Abstract: For "Digital Circuit" experiment exists many problems, such as the unreliable single pulse, device components are shielded, laboratory connecting wires are broken beyond repair and so on, a fully functional, superior performance device named "Digital Logic Circuits Experimental Apparatus" has been researched and produced, it overcomes the problem which are difficult to solve long-standing.

关键词: 实验;单次脉冲;制作;解决问题

Key words: experiment;single pulse;production;solution

中图分类号:TN79+1 文献标识码:A 文章编号:1006-4311(2011)28-0230-02

0 引言

实验教学,是学生在教师指导下,运用一定的仪器设备进行独立操作、通过观察和分析事物的变化直接获取知识,培养实验与操作技能。可以说,教学仪器、设备是加强实验(训)教学的基础和保证。然而,作为“数字电路”实验教学使用的主要设备――由众多厂家生产,五花八门的数字电路实验箱、实验台(柜),大多不同程度地存在着可靠性差、功能不全、维修不便等缺陷。导致实验效果不佳,甚至出现一些无法克服的难题,严重制约了学生实际动手能力的提高和对理论知识的理解和掌握,限制了学生创新思维意识的形成。为此,根据多年的实践教学经验及实际需要,设计研制了《数字逻辑电路实验仪》,供我院数电实验教学使用,解决了数电实验教学中出现的难题,效果良好。

1 商家生产的数字电路实验设备存在的主要问题

1.1 单次脉冲不可靠 脉冲数字电路实验中,经常要用到正(或负)跳变的单次阶跃脉冲。但是,由于机械开关的通断时间往往达几ms至几十ms,在此期间会出现多次抖动,相当于连续出现了几个脉冲信号或数目不定的脉冲串,显然,用这样的开关产生的信号会导致电路产生误动作。对触发器、寄存器、计数器等都要使用单次脉冲进行触发的时序电路而言,就会产生错误的结果。为了消除这种开关的抖动、厂家生产的数字电路实验箱、台、柜,通常在机械开关与被驱动的电路间加有防抖动电路,但在实验教学过程中发现,先后购置的商品实验仪都没有很好地解决这个看似简单的问题,很不可靠,实验数据理论与实际不一样,导致实验无法进行。

1.2 元器件被屏蔽,是“试验”非“实验” 商家生产的这些实验设备多采用“积木”、“模块”、“单元电路”的方式方法。电子元器件、电路板被屏蔽在设备或模块的内部,外部仅漏出元件或电路的引脚连线插孔及图符。元器件是什么形状则看不到,集成电路管脚的识别、三极管等元器件极性及好坏的测量、判别难以进行,学生无法建立感性认识,理论不能联系实际,动手能力的提高无从谈起,主观能动性很难得到发挥。虽不实用,亦有优点:整齐美观,便于展示,实验准备工作也相应简单一些。

1.3 带插头的实验连接导线坏了难修复 市售的这些商品实验箱、实验台(柜)都采用的是带插头可互迭的一次性连接导线。这种导线和与之配套的圆锥形插孔之间的接触比较可靠,但可能是商业利益使然吧,导线与插头处是密封在一起的,坏了无法修复,因而是一次性的。数字电路属技术基础课,开课班级多,实验重复使用率高,由于反复插拔,连线接头处很容易从内部断开。在实验过程中由此引起的隐性故障较难查找,影响实验效果,而且每根连线价格不菲,不能维修及重复使用,只能由生产厂家提供,造成很大浪费。

1.4 产品有些部分华而不实,实验中根本用不上,完全可以删繁就简。

2 自制数字电路实验设备实现的功能特点

为了克服由于实验设备缺陷造成的实验教学难题,提高实验效果,增强学生发现问题,分析问题,解决问题的能力,本着使用方便可靠、线路简单实用、结构合理紧凑、易于自制、便于维修的指导思想,自行设计研制了功能较为齐全、富有特色、经济实用的《数字逻辑电路实验仪》。本仪器可供各类职业院校、业余电子爱好者使用双列直插式大、中、小规模集成电路,进行数字电路的实验和逻辑设计。若配以示波器、万用表等仪器仪表,利用面包板搭接电路,亦可完成模拟电子线路的实验。该实验仪共由七个部分组成,下图是该实验仪的面板图。

2.1 单次脉冲发生器 经过反复多次的实验,该部分最后选用了电路结构最为简单的R-S单稳态触发器作为单次脉冲发生器。由于去耦电路完善,线路结构合理,加之采用的是超高速的TTL门电路,所以电路工作非常稳定、可靠,且单次脉冲的上升沿、下降沿都很陡。所制作的十五台“数字电路实验仪”,没有一台存在因为按钮型自复式开关的通、断而产生的“抖动”现象,从而有效地解决了“时序电路”实验过程中因开关“抖动”而产生的误动难题。

2.2 多孔实验插座扳 多孔实验插座板俗称“面包板”,每块插座板中央有一凹槽,凹槽两边各有相互对应的65列小插孔,每一列的五个小孔其内部是由一只弹性接触簧片构成的,故在电气上是相互连通的。列于列的间距均是双列直插式DIP封装IC的标准间距。集成电路的引脚就分插在凹槽两边的小孔上。另外,插座扳上、下边沿各有一排11组55个插孔,其中前后4组各20个插孔、中间的3组15个插孔在电气上是分别连通的,可作为电源与地线插孔。本实验仪使用了四块SYB-130型实验插座板,一次可容纳多块集成电路进行实验。小型开关、晶体管、小电阻电容等分立元件也很容易插入。

选择采用传统的“面包板”,是因为学生可在其上随心所欲地搭接电路。这对他们认识、检验、使用、选择元器件、建立感性认识,激发学习兴趣、提高动手能力、发挥主观能动性等诸方面都能起到很好地作用。同时,用来搭接电路的单股导线到处有售,彻底解决了带插头的专用实验连线坏了无法修复,需外购,要受制于人且远水解不了近渴还不经济的难题。但因为元器件的发放、回收、检验比较费时间,因而相应增加了实验员的工作量。

2.3 脉冲信号源 信号源部分电路设计巧妙、新颖、独特、成功:分为 1~100HZ、100~1KHZ、1K~30KHZ三个频段,所需频率由波段开关与频率细调旋钮进行选择。脉冲输出幅度0~9V连续可调,脉冲的占空比调节范围可达(0~100%),而且在调节脉冲宽度时对输出频率无任何影响。脉冲的上升及下降时间都小于1微秒。另外,还单独设置有TTL电平脉冲输出插孔。一些技术指标显然高于厂家的产品。

2.4 稳压电源部分 实验仪设有+5V、+12V、-12V三组直流电源,均采用了集成组件三端稳压器进行稳压。+5V电源除了给实验电路供电外,还要作为本实验仪内各个部分的工作电源,因此选用了金属封装,较易散热的LM7805K。7812、7912则采用了廉价的塑封型三端稳压器。这三种集成稳压器内部均设有可靠的短路、超温、限流等完善的保护措施,由于选用了尽可能大的散热器,故各路输出的直流电流均大于1.0A。

2.5 逻辑电平开关部分 选用优质的单刀双掷开关,向实验电路提供“0”、“1”电平信号。若八位开关不够用,可由DIP封装的双列直插式微型多路开关在多孔实验插座扳上进行扩充。

2.6 逻辑电平显示部分 共八位。均加有驱动电路。可选用廉价的低功耗LSTTL、COMS等芯片完成实验。

2.7 计数译码显示部分 可进行百位数以内的计数、译码、显示实验。若要增加位数,亦可在实验插件板上进行扩充。

旋钮接插件开关(含实验插件板)、数码管及LED灯等均直接安装、固定在一块380*300*2.5mm的印刷电路板正反面上。其正面还有铜箔经腐蚀后形成的仪器型号、各部分(用线条框起来)名称、字符等。背面的印刷电路上面焊着元器件。电源变压器、三端稳压器则安装在一个金属框架上,与电路板背面用两只把手(检修时将整个仪器移出机箱用)固定在一起,还能起到支撑的作用。整个机箱为自制木结构,尺寸为470*330*145mm。

3 结束语

该实验仪制作于1994年。经过多年的使用,部分实验插件板出现了接触不良的现象(待换),还有外观不是那么美观外,功能、性能指标仍能满足教学需求,在我院数字电路实验、实训教学中仍然发挥着良好的作用。

参考文献:

[1]孙津平.数字电子技术[M].西安:西安电子科技大学出版社,2005.

[2]贾林科.自制实验设备解决教学难题[J].西部大开发,2011.2.

数字电路实验范文3

【关键词】数字电路;传统方式;VHDL

1.引言

随着计算机以及大规模集成电路应用的普及,电子行业正在迅速的发展。目前采用小中规模的数字电路逻辑设计已经不能满足数字电子技术发展的需要。例如传统的TTL电路或者COMS电路设计任务繁琐,设计效率低,所以迫切的需要我们做出调整,适应社会对数字电子技术发展的要求。伴随着集成电子工艺的发展,新型的逻辑器件也应运而生,到目前为止,市场上的逻辑器件大致可分为三类:第一是标准的逻辑芯片如COMS/TTL等系列芯片;第二是微型计算机芯片和各种微处理器;第三种就是应用规格芯片ASIC,其中ASIC芯片中就有我们接下来介绍的可编程逻辑器件(PLD)[1]。而使用可编程逻辑芯片就必须要求我们掌握编写VHDL语言的技术。这种设计数字电路实验的方法大大克服了传统数字电路设计出现的缺点,更加适应现在社会的发展。

2.传统数字电路设计优缺点

传统的数字电路设计过程大致经过以下几个步骤:一是分析问题画出状态转换图以及状态转换表,二是进行状态化简,三是状态编码,四是写出输入方程、驱动方程以及输出方程,五是画出逻辑电路图,经过这一系列步骤之后,还要在电路板上焊接电路,或者在面包板上拼接电路。传统的设计方法是数字电路设计的基础,它的优点是能够反映了数字电路的基本工作原理,系统内部构成的各个细节也能够很直观的反映出来,各部分之间的联系显而易见。因此,通过对设计的原理图的观察我们可以验证系统的合理性,同时也奠定了数字电路设计的基础。它的缺点是设计步骤复杂,在整个过程中需要用到大量的芯片和连线。而且传统的方法出错率高而且不易修复,在焊接电路板的时候如果不注意就会导致接触不良或者出现某个芯片损坏的情况,这就导致整个电路板都不起作用。

3.PLD器件芯片的出现

PLD又称可编程逻辑器件,PLD芯片上的金属引线和电路都是厂家做好的,但是器件的逻辑功能在出厂时是没有确定的,用户可以根据自己的需要合理的编程设计确定想要的功能。而编程用到的语言就是我们接下来要介绍的VHDL语言。目前PLD器件芯片具有微处理器灵活等优点,芯片的引脚也从一开始的20多个引脚发展到现在的200引脚[2]。可编程逻辑器件的出现从很大程度上使得数字电路设计发生了根本性变革。采用PLD设计电路不再是对电路板设计,而是对芯片设计,使之实现我们预想的功能。一般的PLD的集成度很高,可以满足一般的数字系统的需要。设计人员只需要自己编程到一块PLD上,而不用去供应商那儿买特定功能的芯片。我们可以对芯片内部的逻辑和外部的引脚进行设计。这样就克服了传统方式中对电路板进行焊接所花费的大量时间,克服了工作量大,难以调试等缺点,用户只需要编写适当合理的程序就可以实现预想的功能。如此大大简化了设计步骤,更加适应社会发展的需要。

4.VHDL简介

VHDL语言是一种应用于描述数字系统的功能、结构和接口的语言。VHDL含有许多具有硬件特征的语句而且语言的描述也更类似于一般计算机的高级语言。在编程上简单可行性高。VHDL的程序结构特点是将一项工程设计,或称设计实体。分成外部和内部,在对一个设计实体定义了外部界面后,当其内部开发完成后,其他的设计也能够直接调用这个实体。VHDL系统设计的基本点是将设计实体分成内外部分。VHDL语言之所以能够成为标准化的硬件描述语言并且获得广泛应用,正是因为它本身具有其他硬件描述语言不具有的优点。归纳起来,VHDL语言主要具有以下优点:

(1)VHDL语言设计多样:VHDL语言结构很强大,而且设计方法多样,既支持层次化设计也支持模块化设计,既可以采用自顶向下设计方式,也可以采用自底向上的设计方法。

(2)VHDL语言的设计是针对于芯片而并非器件,传统的硬件拼接的方法针对的是器件,但是VHDL语言是直接对芯片而言的,在设计电路时,用户可以不必考虑所选用的器件。设计者也可以不必考虑系统硬件结构,而进行独立的设计。

(3)VHDL语言可移植性强,对于同一个硬件的VHDL语言来说,它可以从一个工作平台移植到另一个工作平台上。

(4)VHDL语言有非常丰富的库函数和仿真语句,用户可以随时对系统进行仿真。

由此可见传统方式与应用VHDL的区别有以下几点:第一,传统的方法采用自上至下的设计方式,而应用VHDL语言则采用自下至上的设计方法;第二,传统方式采用的是通用的逻辑元器件,系统硬件的后期进行调试和仿真,而应用VHDL语言采用的芯片则是PLD(可编程逻辑器件),系统的设计早期进行调试与仿真;第三,传统的设计方式主要采用电路原理图的方式设计,而本文提出的设计方式主要则以VHDL语言描述为主,从而降低了硬件设计电路的难度。

5.VHDL语言结构及语言设计步骤

VHDL语言结构由library(库)定义区,entity(实体)定义区,architecture(构造体)定义区package(包集合)configuration(配置)组成,其中library,entity和architecture也是一个VHDL语言所必有的。

VHDL语言设计步骤大致可以分为以下三步:第一,分析系统结构并划分模块;第二,输入VHDL语言的代码,编写程序,并且将其编译,在此过程中如果有错误要及时修正;第三,对编译的后的VHDL文件进行仿真。

6.VHDL举例

下面介绍一个简单分频器的例子:

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port(clk,clear:in std_logic;

q:out_std_logic);

end fenpin;

architecture behave of fenpin is

signal m:interge range 0 to11

begin

p1:process(clear,clk)

begin

if clear =’0’then m<=’0’;

elsif “clk event and clk=’1’”then

if m=11 then m<=’0’;

else m<=m+1;

end if;

end if;

end process p1;

p2:process(m)

begin

if temp<6 then q<=’0’;

else q<=’1’;

end if;

end process p2;

end behave;

由例题可以看出,在设计分频器是可以不用硬件搭连的方式,用VHDL语言进行编程更简单易行。

7.小结

本文针对目前传统数字电路设计中存在的若干弊端,提出用VHDL语言编写适当合理程序来设计数字电路实验的方法,避免了硬件电路中若干繁琐的问题,使得系统简单明了,可维护性强,芯片也可以反复使用。传统的硬件设计方法已不能满足现代电子工业的发展,在数字电路的应用中,VHDL语言必将会被广泛的使用。

参考文献

[1]张有志.可编程逻辑器件PLD原理与应用[M].北京:中国铁道出版社,1996:1-3,91

数字电路实验范文4

关键词:数字电路与逻辑设计;实验教学;教学改革

中图分类号:G642文献标识码:B

1引言

“数字电路与逻辑设计”是计算机类专业的专业基础课,具有综合性与实践性两大特点,特别是实践动手能力是学好这类课程的关键,这也是学生进入大学的第一门与工程相关的硬件课程,学生需要掌握的不仅仅是相关的课程知识,更应该通过实际电路的分析与设计学会逻辑思考,为后续的计算机组成原理、微机接口与通信、嵌入式系统原理等硬件系列课程打好基础,这就要求将所学的知识综合运用、融会贯通。

由于学生缺乏工程实践经历,习惯用数学思维思考,不做可行性分析和定性分析,所以对课程的学习经常抱怨“上课听不懂、实验没头绪、太难……”,最后失去对硬件系列课程的兴趣,不能很好地理解计算机结构和工作原理,对于很多问题,包括软件设计等问题都不可能找到最优的解决方案。如何通过实验的训练,使学生掌握工程设计的主要程序和方法,培养分析和解决工程实际问题的能力,树立正确的设计思想,训练逻辑思维能力和创新意识是值得探讨的问题。

2实验课程改革思路

华南农业大学为计算机类专业开设的“数字电路与逻辑设计”课程计划学时数为88学时,其中授课64学时,实验24学时。以下介绍我们在实验教学中为提高学生学习积极性、训练逻辑思维能力和实际操作能力所提出的教学改革思路和实践情况。

2.1实验组织模式的改革

“数字电路与逻辑设计”实验主要包含验证性实验、

设计性实验、课程设计和创新实验四大类。在实验课上针对这四种不同类别和层次的实验,采用不同的实验组织模式,如图1所示。

图1实验组织模式

对于重点考察基础知识、基本分析设计能力的验证性、设计性实验要求学生独立完成,每个实验又包含必做实验和选做实验,难度分级适当,既有多数学生都能完成的基本设计和制作,使他们在实验过程中掌握基本的知识并获得成就感,又有具有挑战性的项目,可激发学生的研究探索兴趣,以期实现较好的教学效果。

课程设计是单独设立的一个实验教学环节,学时数为两周,时间安排在讲授完“数字电路与逻辑设计”课程之后到“计算机组成原理”课程开课之前,用以加强数字逻辑的学习,并为“计算机组成原理”课程的学习作好预备。课程设计要求学生组队合作完成,设计过程采用开放式的管理。

作者简介:曹维(1978-),女,陕西西安人,实验师,工程硕士,主要研究方向为计算机硬件研究及应用。

创新实验是在完成课程实验和课程设计后,吸收部分对硬件感兴趣的优秀学生加入到创新实验的团队中来参加各种创新项目。

实验课的组织模式涵盖了各种层次的需求,既满足课程要求,又有延伸。

2.2实验内容的改革

实验内容的设置非常重要,不但要涵盖基本概念、基础知识,还要有实用性、可操作性。要有新意,与工程应用相结合;难度有层次性,既可以使大部分学生都可以完成基础实验,又有挑战性。“数字电路与逻辑设计”课程的实验安排如表1所示,每个实验都分成两部分。第一部分是基础实验,通过实验使学生进一步巩固和加深对相关课程基本理论的理解,巩固基本概念,提高综合运用所学知识的能力;第二部分是延伸实验,目的是进一步提高学生对数字系统的理解、培养学生独立分析问题和解决问题的能力、综合设计及创新能力,培养学生进行科学研究的独立工作能力,取得工程设计与组装调试的实践经验。

表1实验安排

实验名称 学时 实验延伸

实验一:芯片检测和基本门的构建 2 学习示波器和万用表的使用

实验二:组合逻辑电路的分析与设计 4 多进制全加、全减器的分析设计;OC门、三态门的认识。

实验三:中规模组合逻辑电路设计一

(并行加法器) 4 各种码形变换电路

实验四:中规模组合逻辑电路设计二

(译码器、数据选择器) 4 串并变换电路、交通灯故障报警电路、密码电子锁电路、表决器电路的分析与设计

实验五:触发器测试 2 加强时序概念的理解

实验六:同步时序逻辑电路分析设计 4 不完全确定同步时序逻辑电路分析设计

实验七:中规模集成电路计数器的功能及应用 4 综合运用

综合实验:课程设计 2周 硬件描述语言VHDL

2.3实验方法的改革

实验课教学不再是单纯的老师讲解、学生照电路图连线,也不是简单地完全交由学生独立完成,而应是根据实验类别分别处理。

验证性实验和设计性实验主要进行基本技能训练,先由老师讲述知识点的背景、问题产生的缘由、电路构成的思路等,之后才要求学生动手实验。对每一个讲解的电路,都要留给学生一系列问题:电路的设计思路是什么?有没有问题?适用在什么场合等等。要求通过实际电路的检测

来寻求答案,学生通过主动思考来解决问题,大大激发了学习兴趣。在此基础上,再要求学生完成较有难度的设计,学生根据所学逻辑电路的设计步骤,设计、连接电路并调试,写出完整的实验报告。

课程设计要求综合运用当前课程和前修课程的基本知识,进行融会贯通,对所学专业课程知识进行综合和实际应用。实验前给出设计要求,要求学生按设计任务书中的要求独立完成探索研究、查阅资料、设计方案、选择设备和安装调试、评估优化,写出完整的项目说明书。老师只进行答疑,但不涉及基础知识。

创新实验不是针对所有学生开设的,选择吸收部分对硬件感兴趣的优秀学生加入到创新实验的团队中来参加各种创新实验活动。实验室提供了元器件、硬件组装平台、各种产品开发平台,学生经过市场调研、分析设计、确定开发工具、项目实现、评估优化、开发说明书等过程,需要综合运用各门课程的知识,还要涉及到一些未曾接触过的领域,老师只进行设计思路的指导。通过创新实验即培养学生的科研兴趣也提高他们开发硬件产品的水平。

3实验课程改革实施情况分析

“数字电路与逻辑设计”的实验教学改革经过4年的实施,取得了一定的效果,学生普遍对硬件类课程产生了兴趣,提高了综合运用知识的能力,训练了逻辑思维。

3.1培养了分析和解决工程实际问题的能力

在同步时序逻辑电路分析设计(实验六)这个实验中,实验课上给了学生电路图(图2),要求学生分析,并搭建实际电路验证,大部分学生都可以根据电路图写出方程式(输出方程、驱动方程、状态方程)、列状态转移真值表、画状态转移图和时序图、说明逻辑功能,得出的结论是“111……”序列检测器,再按电路图搭建实际电路,测试结果。学生发现实验时两个1输入之后,输出就为Z=1,与分析结果不符,继而查找出原因是输方程为Z=xy2 y1,在11状态之后,输入x=1,在时钟未到来输出已经置1,修改电路为Moore型,则可实现“111……”序列检测器。

图2同步时序逻辑电路分析设计电路图

通过这个实验,学生们加深了对时序概念的理解,训练了独立思维的能力和动手能力,发现了指导老师也未注意的问题,对课程的学习产生了信心。

3.2训练了逻辑思维能力和创新意识

中规模组合逻辑电路设计(实验三)要求选用4位并行加法器和适当的逻辑门电路实现(X+Y)×Z,其中,X=X2X1X0、Y=Y2Y1Y0、Z=Z1Z0均为二进制。实验中希望同学积极思考,采用不同的方法来实现。下面介绍其中2种设计。

根据乘数和被乘数的取值范围,可知乘积范围处在0~48之间。故该电路应有6个输出,设输出用W5W4W3W2 W1W0表示。

第一种设计方案,两数先加再乘,过程如图3。

图3第一种设计方案

第二种设计方案,两数先分别乘,如图4、图5;再将结果相加,过程如图6。

经过这个实验,大部分的学生愿意积极主动思考,敢于创新,并通过实验训练了逻辑思维能力。

图4第二种设计方案(1)

图5第二种设计方案(2)

图6第二种设计方案(3)

4结束语

在“数字电路与逻辑设计”课程的实验教学工作中,我们不仅注重实践动手能力的培养,更注重逻辑思维能力、综合运用知识能力、创新意识的培养,更要使学生掌握工程设计的主要程序和方法,培养分析和解决工程实际问题的能力,树立正确的设计思想。

实验教学改革是一个长期过程,它需要在实践中不断深入与完善,适应科学技术的发展和社会对高素质人才的需求。

参考文献:

[1] 常薇,张明武. 硬件与电子技术课程网上虚拟实验教学模式研究[J]. 中山大学学报论丛,2005(3):80-82.

[2] 李南樱. 计算机专业“数字逻辑”课程教学改革的探讨[J]. 科技信息,2007(3):189-190.

[3] 王诗兵,陈蕴. 浅谈数字逻辑实验教学改革[J]. 阜阳师范学院学报: 自然科学版,2004(21):69-71.

[4] 白中英,张杰. TEC-5数字逻辑与计算机组成的实验系统[J]. 实验技术与管理,2007(24):49-51.

数字电路实验范文5

关键词:教学改革;数字电路设计;教学平台;案例驱动;应用型人才培养

文章编号:1672-5913(2017)07-0140-04

中图分类号:G642

0 引 言

数字逻辑设计及应用是高等院校电气信息类学科中一门重要的学科基础课程,既有自身的理论体系,又有很强的实践性,还是数字电路系统设计的先导课程,在整个人才培养中起着承上启下的作用。

案例驱动教学法将以传授知识为主的传统教学理念,转变为以解决问题并完成任务为主的互动式教学理念;将再现式教学转变为探究式学习,使学生处于积极的学习状态,让每一位学生都能根据自己对当前问题的理解,运用已有的知识和个人体验提出解决方案并最终解决问题。

1 实验平台

数字电路/ARM7嵌入式系统多功能教学科研平台如图1所示。该平台以FPGA(field programmable gates array,现场可编程门阵列)为核心,以综合性和创新性实验为导向,具有丰富的外设接口以及丰富的设计资源。借助该平台,学生可以使用EDA(electronic design automatic)技g实现复杂的数字电路设计,不仅能强化学生的实践应用能力[1],而且能使设计风格更灵活、设计效率更高。借助该平台,可以进行一系列数字电路综合实验设计,借助于案例驱动教学法,提高学生的设计创新能力和实践应用能力。

2 “LED点阵显示”案例驱动教学

“LED点阵显示”案例驱动教学需根据任务驱动五步教学法实现,分别为理论讲解(听)、案例教学(看)、强化训练(做)、归纳总结(思)和实战应用(查)。

2.1 理论讲解和案例教学

数字电路/ARM7嵌入式系统多功能教学科研平台中8×8 LED点阵显示电路原理图如图2所示。由图2知,要想点亮LED灯,只要LED的阳极接高电平、阴极接低电平即可。假定要实现第1个LED灯亮,令DISP-CS7=0,DISP-CS0~DISP-CS6为1,则PNP管(Q9)导通,Q9发射极的3.3V电压即加到第1列LED的阳极,令DISP-D0=0,DISP-D1~DISP-D7为1,则第1个LED灯亮。假定要实现第1列LED灯亮,令DISP-CS7=0,DISP-CS0~ DISP-CS6为1,令DISP-D0~ DISP-D7均为0,则第1列LED灯亮。

2.2 强化训练

根据理论讲解和案例教学,学生能学会如何控制某一个或某一列LED灯亮,教师可以布置任务让学生点亮任何一个或者任何一列(行)LED灯。通过实践练习,学生能够轻而易举地点亮LED灯。这个简单的点灯实验能够帮助学生建立设计电路的自信心,满足学生设计电路的成就感,激发他们对设计复杂电路的渴望。

此时,教师可以适当加大实验难度,如实现LED点阵按列(行)依次点亮。这时,学生会根据自己的想法控制LED点阵按列(行)点亮,教师的角色则应该由教变为导,参与学生的设计中并且在适当的时机给予一定的引导。如果学生能够想到可以令DISP-D0~DISP-D7为0,而将DISP-CS0~DISP-CS7轮流置为0,就可以实现LED点阵按列点亮;或者令DISP-CS0~DISP-CS7为0,而将DISP-D0~DISP-D7轮流置为0,则可以实现LED点阵按行依次点亮。此时,教学过程已经从再现式教学转变为探究式学习。

一旦学生意识到这个关键的技术点,他们就会立即想到由计数器加上译码器实现LED点阵的依次点亮,因为上述案例和跑马灯的设计非常相似。74154实现数码管和LED点阵的控制原理图如图3所示,其原理可以参考文献[1],学生可以用74154芯片(电路板上芯片)和计数器(由FPGA实现)实现案例设计。LED阵列按列点亮原理图如图4所示,其中的插图即为某列LED灯点亮图。在这个案例中,学生可能会对系统时钟clk频率(49.152 MHz)进行不同的分频,此时,教师应该将任务具体化为肉眼能够分辨出LED点阵是按列点亮即可。

此时,教师可以再次加大实验难度,如实现LED点阵按灯依次点亮。教师可以引导学生:①假定DISP-CS*为0,设置DISP-D0~DISP-D7轮流为0,可以实现LED点阵第*列LED灯依次点亮;②假定DISP-CS0~DISP-CS7轮流为0,且DISP-CS*为0的时间刚好可以实现第*列LED灯依次点亮完毕;③假定条件成立。一般情况下,学生基于前面的设计体验再加以教师的适当引导,就可以想到借助74138实现LED点阵按灯点亮。

LED点阵按灯点亮原理图如图5所示。当disp_sel[2:0]为0时,74138的输入端会在000~111之间变化一次,此时,co[1]~co[8]便依次出现低电平,则DISP-CS0所对应的LED列就会依次点亮;当disp_sel[2:0]在000~111之间变化时,可以实现LED点阵按灯依次点亮。此时,教学过程已经从以传授知识为主的传统教学理念转变为以解决问题和完成任务为主的互动式教学理念。

2.3 归纳总结

“学而不思则罔,思而不学则殆”,通过案例驱动式教学,学生要将自己习得的理论知识和实践经验感悟内化为自己的能力,通过归纳总结得出设计规律,拓展设计电路的种类,提升自己设计的能力。

2.4 实战应用

在案例驱动的最终步骤实战应用中,教师须要求学生根据相应的资料和提示用LED点阵实现字母和数字显示。资料包括:①PCtoLCD2002的使用;②用MegaWizard Plug-in Manager创建ROM的方法;③LED点阵的动态显示原理。提示包括:①使用PCtoLCD2002生成字母或数字的字模,其中字模中1为点亮的LED灯,0为熄灭的LED灯;②字模放在ROM中等待调用;③LED点阵行线DISP-D0~DISP-D7由字模控制,LED点阵列线DISP-CS0~ DISP-CS7则由disp_sel[2:0]控制;④LED点阵要稳定地显示字母和数字,需要LED点阵的行和列以极快的频率扫描,基于视觉暂留现象和LED点阵余晖,便得到稳定的设计字符。8×8 LED点阵实现字母和数字的原理图如图6所示,其中插图部分是实现显示的数字9、7和字母Y、D。

一般情况下,大约10%的学生可以根据资料和提示设计出电路图并利用试验箱完成设计任务,而这10%的学生又可以迅速将设计分享给暂时没有解题思路的学生,从而形成团结紧张、拼搏钻研的学习氛围。

3 结 语

借助数字电路/ARM7嵌入式系统多功能教学科研平台,通过游戏教学[1-4]和案例教学,学生理解课程的能力和动手能力均得到大幅度提升,数字逻辑电路教学改革也得到较好地推进。该平台在众多兄弟院校中使用,得到很多积极的评价,如创新实验设计新颖独特、实验平台功能完善、教学思路新颖、值得推广等;而案例驱动的教学方式既能为学生提供理论指导,又能为学生提供实践和感悟问题的情境。任务的完成既能让学生获得满足感和成就感,又可以激发他们的求知欲,从而提高学习效率,培养学生的学习兴趣,改变学生的学习状态,使学生建构起探究、实践、思考、运用和解决问题的研究学习体系。

参考文献:

[1] 王红航, 刘凯, 邓春健, 等. 提高综合应用能力的实验教学方法探讨[J]. 实验科学与技术, 2016(6): 121-124.

[2] 吕D, 邓春健, 黄杰勇. 利用 EDA技术全面改进数字电路课程教学[J]. 福建电脑, 2008(6): 208-209.

数字电路实验范文6

1.1实验系统的内容

该电子设计自动化实验系统,不仅要能够实现基本数字电路功能,而且还要能够实现部分中规模和大规模的数字电路功能。具体设计内容包括以下这些:(1)数字时钟的设计。(2)LED16×16点阵控制器的设计。(3)数字频率计的设计。(4)实现抢答器数字系统。(5)实现电子密码锁数字系统。(6)实现三色交通指挥灯控制器的数字系统。(7)实现简易电子琴的数字系统。(8)实现三层电梯控制器的数字系统。

1.2实验系统的设计方案

该电子设计自动化实验开发系统是基于FPGA/CPLD器件,主要包括四部分,即信号发生模块、输出信息的显示模块、扩展模块、FPGA/CPLD芯片通用适配座及芯片编程下载电路。该实验系统设计,采用了通用适配板与系统功能板分离的结构方式。通用适配板具有完整的下载电路,既可插在系统功能底板上使用,也可单独工作。

1.3实验系统的电路设计

根据电路功能,将其划分为两部分,即通用适配板电路和系统功能板电路。这两部分通过芯片适配接口进行连接。1.3.1系统功能板电路设计系统功能板电路设计如图1,下面是各个模块的介绍:(1)7段数码管模块,用于实现各种数字显示,例如计数器计数结果的显示、频率计的频率显示、数字钟表的时问显示及抢答器的倒计时显示等。(2)红绿灯电路模块,用于红绿灯的电路实验。(3)LED指示电路模块,用来显示组合逻辑电路实验的输出电平的高低。(4)点阵模块,用来显示点阵输出。(5)时钟电路,提供时序逻辑电路的CLK。(6)状态机电路,由FPGA相应的I/O口和状态指示电路组成,用于序列信号发生器、告警器等的设计。(7)蜂鸣器模块。一部分是音乐片电路,当有人抢答时该电路可以放一小段音乐来告知有人抢答;第二部分是电子琴电路,由FPGA送出一定的乐谱频率,在扬声器上发出相应的谱音。音乐片和电子琴电路通过一个DIP2的拨动开关来选择。(8)键盘电路模块,该模块用于选择电子琴的数字系统实验、抢答器数字系统实验、电子密码锁数字系统实验等实验项目。(9)DIP开关电路,提供输入端的高、低电平。(10)液晶显示电路。2.3.2通用适配板的电路设计通用适配板由CPLD芯片、下载电路、扩展接口电路等部分组成。通用适配板结构框图见图2。

2结束语