逻辑电路的设计范例6篇

逻辑电路的设计

逻辑电路的设计范文1

关键词: 组合逻辑电路;火灾报警;电路设计;仿真

中图分类号:TP391 文献标识码:A 文章编号:1006-4311(2013)16-0063-02

0 引言

组合逻辑电路的基本构成单元是门电路,与时序逻辑电路不同,组合逻辑电路无记忆功能,输出信号仅取决于当时的输入信号[1]。组合逻辑电路的设计是根据给定的实际问题,用逻辑函数进行表达,用数字电路来实现逻辑其功能。常用的中规模组合逻辑电路有编码器、译码器、数据选择器、加法器等。

在设计硬件电路之前,常用一些虚拟软件进行仿真设计,Multisim软件是一款应用较广,功能强大的电子电路设计开发与仿真软件[2-5]。

文中,以集成与非门74LS00、译码器74LS138和数据选择器74LS151为主要元件设计了产生火灾报警控制信号的三种电路,设计平台为基于windows系统的Multisim 12.0软件,并进行了仿真测试。

1 电路设计与仿真

组合逻辑电路的设计步骤一般为:①根据设计要求,定义输入、输出的逻辑状态;②填写真值表;③由真值表,写出逻辑函数的最小项表达式并进行化简;④采用相应的元器件进行电路布线。

文中,火灾报警系统的输入为烟感、温感和紫外光感三种火灾探测器,当其中两种或两种以上探测器检测到火灾信号时,则系统发出火灾报警信号。设烟感信号为A、温感信号为B、紫外光感信号为C,报警信号为Y,当有信号时为1,无信号时为0,列出真值表,如表1所示。

1.1 基于与非门的电路设计 集成与非门74LS00有14个管脚,可以实现4个二端输入与非逻辑功能,74LS10可以实现3个三端输入与非逻辑功能。由公式(2)可见,此报警控制电路需要4个与非门,即三个二端输入与非门,一个三端输入与非门,电路连接如图1所示,其中三个探测信号输入端接入74LS00的三个二端输入引脚,三个二端与非门的输出接入74LS10的一个三端与非门输入引脚。

图1中的XLC1为逻辑变换器,是一种虚拟仪器,可以接入报警系统的输入与输出端,测试与验证其逻辑功能,从图2可见,该电路真值表及逻辑函数表达式与设计要求一致。

1.2 基于译码器的设计 译码是编码的反过程,74LS138译码器是集成有三个输入端,八个输出端的中规模组合逻辑电路,译码器的各输出端引脚信号对应于输入端二进制信号的组合情况。

依公式(4)可知,只要将译码器对应的四个输出端引脚接入74LS20芯片中的一个四输入端与非门即可,电路如图4所示。图中,译码器74LS138的G1、~G2A与~G2B为控制端,当G1接高电平,~G2A与~G2B接低电平时,芯片才能实现译码功能。系统接入逻辑变换器,对整个电路的功能进行了测试,测试结果与图2所示一致。

1.3 基于数据选择器的设计 数据选择器可以根据地址输入端的二进制信号,对输入端信号进行选择。8选1数据选择器74LS151是集成有三个地址输入端A、B、C,8个数据输入端D0~D7的中规模组合逻辑电路。74LS151数据选择器的功能用逻辑函数表示为

这样只要将数据选择器的输入端进行适当的置位便可以实现此报警功能,电路如图4所示。

图中,数据选择器74LS151的~G为控制端,低电平有效,D3、D5、D6、D7接高电平,其余数据数据输入端接低电平,地址输入端A、B、C与数据选择器的输出Y端接入逻辑变换器,以验证逻辑电路功能,验证结果与图2所示一致。

2 结论

组合逻辑电路广泛应用于各种数字电路设计中,文中给出了用集成与非门74LS00、74LS10,中规模组合逻辑电路器件74LS138译码器、数据选择器74LS151设计火灾报警控制电路的三种方法,并用最新版本的Multisim软件进行了直观的仿真验证。三种电路设计中,运用数据选择器最为有效。Multisim软件为组合逻辑电路的设计与仿真提供了强有力的计算机虚拟平台。

参考文献:

[1]王毓银.数字电路逻辑设计[M].北京:高等教育出版社,2002.

[2]张晶,李心广.基于multisim的电路设计与仿真[J].计算机仿真,2005,22(5):109-110.

[3]石嘉顺.基于multisim环境下的电路设计与仿真[J].计算机仿真,2007,24(12):306-308.

逻辑电路的设计范文2

关键词:最简化;约束条件;组合逻辑电路设计;编码器;奎恩-麦克拉斯基法

中图分类号:TN710 文献标识码:B

文章编号:1004-373X(2008)06-006-02

A New Method about Combinational Circuit Synthesis

ZUOQuansheng

(Changzhou Institute of Technology,Changzhou,213002,China)

Abstract:Minimization is an important step in both ASIC design and in PLD-based design.It is highly desirable to find the simplest implementation that is the one with the smallest number of gates or wires.A large number of constraint terms are dealt with in both ASIC design and in PLD-based design,but the terms whose value is 1 or 0 is limited. A new method about combinational-circuit synthesis is proposed.This method can′t deal with these constraint terms.It can only deal with those terms whose value is 1 or 0.So the steps of synthesis is simplied.It is specialized utilized in those combinational circuit synthesis which has a large number of constraint terms.Two actual examples are proposed to give evidence that according to this method we can minimize the steps of synthesis.

Keywords:minimization;constraint condition;combinational circuit synthesis;encoder;Quine-McClusky algorithm

组合逻辑电路设计的最简化无论在ASIC设计和PLD设计中都很重要。因为组合逻辑电路中多余的门和输入端需要ASIC芯片的更多面积,因而也增加了他的成本;PLD的门电路是固定的,组合逻辑电路中有多余的门和输入端就需要容量更大、速度更慢、价格更高的PLD。因为用一般的逻辑表达式实现的组合逻辑电路的规模随输入变量的数目增加而成指数级增加,所以直接用一般的逻辑表达式实现逻辑电路是不经济的。现在组合逻辑电路设计有很多种方法,但这些方法对那些有大量约束项的组合逻辑电路设计不是最好的。工程上常见的组合逻辑电路常有很多输入变量,对多输入变量的组合逻辑电路设计,文献\[1\]和文献\[2\]介绍的公式法和卡诺图法都不适用。这些组合逻辑电路常有很多约束条件,使用文献\[1\]介绍的奎恩-麦克拉斯基法步骤很多。例如3位二进制(8线-3线)编码器有8个输入变量I7I6I5I4I3I2I1I0,3个输出变量Y2Y1Y0。8个输入变量I7I6I5I4I3I2I1I0е挥8种允许的组合,即00000001,00000010,00000100,00001000,00010000,00100000,01000000,10000000。另外248种组合是不允许出现的约束项。任何一个输出变量实际上只有4种组合为1,4种组合为0。又如并行比较型模/数变换器ADC0881芯片中有255个时钟锁存器(可用C255C254…C2C1П硎)。这255个变量的组合数量是很大的,但他的编码器的输出是8位二进制数(用D7D6D5D4D3D2D1D0П硎),也就是说这255个变量只有256种组合是允许出现的,其他大量的组合是不允许出现的约束项。编码器的每位输出变量实际上只有128种组合为1,128种组合为0。传统的公式法和卡诺图法等组合逻辑电路设计方法主要是通过对为1的组合和约束项进行处理,对为0的组合基本不处理。对于多输入变量的组合逻辑电路设计而言,大量的约束项大大地增加了设计的复杂度。通过研究发现:利用这些有限的1和0就能设计组合逻辑电路,很多约束条件在设计时可以不用处理,这就可以大大简化逻辑电路的分析和设计。

1 新方法的基本思想

引理1 比较输出变量为1的组合与某个输出变量为0的组合,找出其中不同的变量及其组合,例如输出变量为1的组合有q=q1q2…Qt,而某个输出变量为0的组合没有q=q1q2…Qt,则q=q1q2…Qt是该输出变量为1的组合的一个因子。

因为q=q1q2…Qt在输出变量为1的组合中出现,在某个输出变量为0的组合没有出现,但不知道在其他输出变量为0的组合会不会出现,所以q=q1q2…Qt可以表示这个输出变量的一部分,但不能表示这个输出变量的全部。

引理2 设Q=Q1Q2…QT是输出变量为1的组合出现,而所有输出变量为0的组合均不出现,则该输出变量为1的组合可以用Q=Q1Q2…QT表示。

因为Q=Q1Q2…QT在所有输出变量为0的组合均不出现,这说明含Q=Q1Q2…QT的所有项要么是1,要么是约束项,因而该输出变量为1的组合可以用Q=Q1Q2…QT表示。

引理3 输出变量为1的某个组合的所有因子的与可以表示该输出变量为1的组合。

与逻辑表示只有在决定事物结果的全部条件具备时,结果才发生的因果关系。输出变量为1的某个组合的所有因子的与表示输出变量为1的这个组合出现、所有输出变量为0的组合均不出现,因而可以表示输出变量为1的这个组合。

引理4 一个输出变量所有为1的组合的或可以表示该输出变量。

2 新方法举例

例1:研究3位二进制(8线-3线)编码器,他的8个输入变量I7I6I5I4I3I2I1I0允许8种组合,发现每种组合只有一个变量为1,其余变量为零;2个或2个以上的变量为1的组合都是不允许出现的。输出变量Y2Y1Y0У拿恳晃欢加4个组合为1、4个组合为0,其他都是约束项(见表1)。

Y2的第5种组合为1,这种组合有而他为0的第1种组合没有的因子是I4,I0,I0I4;这种组合有而他为0的第2种组合没有的因子是I4,I1,I1I4;这种组合有而他为0的第3种组合没有的因子是I4,I2,I2I4;这种组合有而他为0的第4种组合没有的因子是I4,I3,I3I4;输出变量为1的这个组合所有因子的与是I4,I0I1I2I3。取其最简单的表达式,即Y2的第5种组合可以表示为I4。同理可得:Y2的第6种组合可以表示为I5;Y2的第7种组合可以表示为I6;Y2的第8种组合可以表示为I7。最后可得:Y2=I4+I5+I6+I7;

同理可得:Y0=I1+I3+I5+I7;Y1=I2+I3+I6+I7。

例2:3位二进制数码输出的并行比较型模/数变换器的代码转换如表2所示:

D2的第5种组合为1,这种组合有而他为0的第1种组合没有的因子是C4,C3,C2,C1;这种组合有而他为0的第2种组合没有的因子是C4,C3,C2;这种组合有而他为0的第3种组合没有的因子是C4,C3;这种组合有而他为0的第4种组合没有的因子是C4。

D2的这种种组合为1的所有因子的与的最简单表达式是C4,即D2的第5种组合可以表示为C4;同理,D2的第6种组合为1的所有因子的与的最简单表达式是C4,C5,即D2的第6种组合可以表示为C4或C5;D2的第7种组合为1的所有因子的与的最简单表达式是C4,C5,C6,即D2的第7种组合可以表示为C4或C5,C6;D2的第8种组合为1的所有因子的与的最简单的表达式是C4,C5,C6,C7,即D2的第8种组合可以表示为C4或C5,C6,C7。最后得D2的最简表达式是:D2=C4。

D1的第3种组合为1,这种组合有而他为0的第1种组合没有的因子是C2,C1;这种组合有而他为0的第2种组合没有的因子是C2;这种组合有而他为0的第5种组合没有的因子是C4,C3;这种组合有而他为0的第6种组合没有的因子是C5,C4,C3。

D1的这种种组合为1的所有因子的与的最简单的表达式是C2C4或C2C3;同理,D1的第4种组合为1的所有因子的与的最简单的表达式是C1C4或C2C4;D1的第7种组合为1的所有因子的与的最简单的表达式是C6;D1的第8种组合为1的所有因子的与的最简单的表达式是C6或C7。

最后可得D1的最简表达式是:C6+C2C4。D0的第2种组合为1,这种组合有而他为0的第1种组合没有的因子是C1;这种组合有而他为0的第3种组合没有的因子是C2;这种组合有而他为0的第5种组合没有的因子是C4,C3,C2;这种组合有而他为0的第7种组合没有的因子是C6,C5,C4,C3,C2。D0的这种种组合为1的所有因子的与的最简单的表达式是C1C2。同理,D0的第4种组合为1的所有因子的与的最简单的表达式是C3C4;D0的第6种组合为1的所有因子的与的最简单的表达式是C5C6;D0的第8种组合为1的所有因子的与的最简单的表达式是C7。最后可得D0的最简表达式是:C7+C5C6+C3C4+C1C2。

3 结 语

类似的例子可以举很多,通过上述例子分析可知,利用本文介绍的方法,这些约束条件许多可以不加处理,这可以大大简化逻辑电路的分析和设计。

参考文献

[1]Brian H,Clive W.Digital Logic Design\[M\].北京:人民邮电出版社,2006.

[2]阎石.数字电子技术基础\[M\].北京:高等教育出版社,2005.

逻辑电路的设计范文3

关键词:口袋实验室;数字逻辑;组合逻辑电路;嵌入式系统

中图分类号:TP311 文献标识码:A 文章编号:1009-3044(2016)28-0268-03

口袋实验室,又称无墙实验室,就是让学生人手一个迷你“口袋实验箱”,上课带来、下课带走,课程结束时归还。学生有了一个小的实验平台,就可以结合课程内容,随时随地进行课程实验;同时,激荡在学生头脑中的创新想法可以即时得到验证,激发他们主动学习的兴趣。

口袋实验室这种形式使实验设备突破了实验室的限制、实验课时的限制,能够把最新的技术补充到学生的课余学习中,让学生随时随地都能进行实验,从而最大程度地实现了实验室的开放。

目前口袋实验室理念在国内多所高校已得到广泛的认可和贯彻,如清华大学、北京大学、哈尔滨工业大学、复旦大学、同济大学、上海交通大学、东南大学、华中科技大学等都建起了数量、规模各异的口袋实验室。

本组合逻辑电路设计就是基于Xilinx(赛灵思公司)Basys3口袋实验室的。在性能更好的NEXYS4或ZYBO实验板上也可实现。

1 口袋实验室之核心硬件Basys3

Basys3 实验板(见图1)是一款采用Xilinx最新7系列的数字电路入门级开发平台,该平台主芯片为Xilinx Artix系列中的最小型号 XC7A35T。它包含5200个slice资源,相当于33,280个逻辑单元。每个slice包含4个6输入查找表(LUT),8个触发器 。容量为1800kb的块状RAM 以及5个时钟管理单元,每个单元带有一个锁相环。Basys3同时也是一款入门级的FPGA实验板,专门针对Vivado Design Suite而设计,具有Xilinx Artix-7 FPGA架构。Basys3包含了所有Basys系列板卡的标准功能:完备的硬件规格,大 量的板载IO设备,所有需要FPGA支持的电路,以及免费的开发工具,上手即用。

采用Basys3 可以实施更贴近嵌入式方向专业要求的数字逻辑实验项目,形成符合嵌入式方向专业要求的数字逻辑实验课程。可解决现有《数字逻辑》实验课程的教学要求与嵌入式方向专业要求相比有些偏低的问题。同时可以解决现有面向嵌入式方向的基础实验课程实验平台各异,实验内容不够贯通的问题。

2 口袋实验室之核心软件Vivado

赛灵思在全球业界第一个发货和量产28nm 7系列器件后,2012年又推出了“Vivado”这一全新的FPGA设计套件,很可能在将来取代已经面世18年之久的赛灵思ISE设计套件。Vivado的推出,不仅仅是让设计的界面更加漂亮(如图2所示),更重要的是它带给行业的那种体现在设计手段和设计方法上的的创新。众所周知,对于电子设计工程师来说,一方面要求FPGA能实现所有的数字系统功能,包括:数字逻辑、嵌入式系统和数字信号处理等等;另一方面又要求基于FPGA的设计就像他们使用“C”语言给CPU、MCU编程那样的简单。Vivado将这两个看起来相互矛盾的梦想变成了现实。

在Vivado 2014.3环境下如同前期的ISE环境,可以非常方便地运用Verilog HDL语言的编程开发流程,包括源程序的输入、编译、模拟仿真及程序下载。

通过学习Verilog硬件描述语言,以及利用Verilog HDL进行数字电路设计和FPGA开发的方法。可让学生初步掌握代表当今数字逻辑设计前沿的FPGA开发的设计流程。除基本组合逻辑电路实验外,还可以追加时序逻辑电路的设计实验、。

3 口袋实验室之组合逻辑电路设计实验

本实验所用口袋实验室为Basys3电路板,板上的LED灯是共阴极的连接方式,当输入高电平‘1’时,LED亮;当拨动开关靠近数字标称端输出为低电平‘0’。

3.1 简单门电路的实现

在数字电路中,二输入与门电路、或门电路、非门电路、与非门电路、或非门电路和异或门电路的逻辑表达式如下所示,真值表如表1。

下面分别给出这些门电路的行为描述方式(Behavioral)和逻辑寄存器传输描述方式(Register Transfer Level,RTL)。可以看出,行为描述方式和逻辑表达式十分接近,而寄存器传输描述方式则是以真值表为依据进行编写的。 具体操作步骤如下:

(1) 利用向导,建立一个新项目,工程名为expe1,顶层文件名也指定为gate。

(2) 新建一个Verilog HDL文件。

(3) 在Verilog HDL文件中输入程序:

a 行为描述方式源程序:

module gate(a,b,c1,c2,c3,c4,c5,c6);

input a,b;

output c1,c2,c3,c4,c5,c6;

assign c1=a&b;

assign c2=a|b;

assign c3=~a;

assign c4=~(a&b);

assign c5=~(a|b);

assign c6=a^b;

endmodule

b 寄存器传输描述方式源程序:

module gate(a,b,c1,c2,c3,c4,c5,c6);

input a,b;

output c1,c2,c3,c4,c5,c6;

reg c1,c2,c3,c4,c5,c6;

always@(a or b) begin

case({a,b})

2'b00:

begin

c1

end

2'b01:

begin

c1

end

2'b10:

begin

c1

end

2'b11:

begin

c1

end

default:

begin

c1

end

endcase

end

endmodule

(1) 进行语法检查和综合编译。

(2) 编写testbench,进行时序仿真。

(3) 分配管脚,生成*.bit文件(管脚分配可参照后续实验结果部分)。

(4) 下载。

3.2 三态门电路的实现

在数字电路中,三态门电路是在普通门电路的基础上附加控制电路构成的。三态门主要用于可编程逻辑器件管脚的双向口设置。三态门的逻辑电路图如图3所示,真值表如表2。

根据三态门的逻辑电路图和真值表,不难看出三态门电路的基本工作原理是:当控制端口的输入使能信号EN=’1’,那么直接将输入端口的数据送到输出端口上;当控制端口的输入使能信号EN=’0’,那么这时输出端口呈高阻状态。 具体操作步骤如下:

(1) 利用向导,建立一个新项目,工程名为expe2,顶层文件名也指定为tri_gate。

(2) 新建一个Verilog HDL文件。

(3) 在Verilog HDL文件中输入程序:

module tri_gate(din,en,dout);

input din,en;

output dout;

reg dout;

always@(din or en) begin

if(en==1) dout

else dout

end

endmodule

(4) 进行语法检查和综合编译。

(5) 进行时序仿真。

(6) 重新分配管脚,生成*.bit文件(管脚分配可参照实验结果部分)。

(7) 下载。

3.3 实验结果

1) 两输入与门电路的管脚分配表和实验结果对照表见表3、表4。

2) 二输入或门电路、非门电路、与非门电路、或非门电路和异或门电路的管脚分配表和实验结果对照表见表5、表6。

3) 三态门电路的管脚分配表和实验结果对照表见表7、表8。

4 结束语

本组合逻辑电路设计是基于Xilinx(赛灵思公司)Basys3口袋实验室实现的,主要内容为简单门电路和三态门电路。在此口袋实验室的电路板上还可以实现编码/译码器,比较器,全加器等组合逻辑功能。项目中使用的口袋实验室由于其优秀的软、硬件平台,在本科教学中有多方面的应用价值。

1)在Vivado 2014.3环境下,可推动Verilog HDL基本语法的学习,有利于学生掌握Verilog HDL编程设计的基础。 而Verilog HDL和VHDL并称为业界最流行的两种硬件描述语言,其重要性不言而喻。

2)在本科嵌入式方向的《数字逻辑》、《计算机组成原理》、《计算机系统结构》三门课程间有一条衔接与贯通的主线:即由计算机组成基础部件到单/多周期CPU和中断/IO处理CPU,再到基本流水线CPU。本实验平台可直接应用于这三门课程。不仅能节约学生熟悉实验平台的时间,而且同一实验平台有利于他们温故而知新。

3)让嵌入式方向的学生开始学习满足较高专业需求的实验内容。打通面向嵌入式方向的基础实验课程的教学内容,有利于学生较系统、深刻地掌握满足专业要求的软硬件知识,锻炼他们的系统设计能力。

参考文献:

[1] 白中英,谢松云等.数字逻辑[M].6版.北京:科学出版社,2013.

[2] RichardE.Haskell著,郑利浩译.FPGA数字逻辑设计教程―Verilog[M].北京:电子工业出版社,2013.

[3] 何宾.Xilinx FPGA设计权威指南:Vivado集成设计环境[M].北京:清华大学出版社,2014.

逻辑电路的设计范文4

关键词:数字电路与逻辑设计;教学方法;教学质量

1 课程的现状

在高速发展的电子产业中数字电路具有较简单又容易集成的特点,是集成电路设计的基础。数字电路又是现代电子技术、计算机硬件电路、通信电路、信息与自动化技术的基础。因此,《数字电路与逻辑设计》是电子、通信、计算机、自动化等专业的重要基础课程,其理论性和实践性很强。

在当今信息数字化时代,随着CMOS工艺的发展,式子电子技术中TTL的主导地位被撼动。在工程实践中,数字电路的文本描述已逐渐取代图形描述。FPGA/CPLD器件的大量应用,也改变了数字系统的设计理念、设计方法,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件结合,使器件的功能更加完善,使用更灵活。因而,数字电路的教学内容也需要不断更新与改进,已适应人才培养的需要。

对以电工基础及电子电路为基本的理论基础知识,由于其逻辑性极强、极具抽象性、并枯燥无味,对该门课程有极大兴趣的学生不多,大部分学生都感到难学、学不懂、不会学,对各种电子产品的结构特别是在电路结构、电路工作原理分析方面,更是觉得困难重重。由于缺乏学习兴趣,学生的学习纯粹是―种被动学习,也就是为了应付考试,最终的教学目的很难达到。

目前,大部分年轻老师都是直接从学生转变为老师的。在讲授这门课之前完全没有任何教学经验,更谈不上实践经验了。所以在教学过程中只是在简单完成教学任务,照搬书上的内容,没有将这门课程与当今科研技术结合起来,对激发学生的学习兴趣也并未起到积极的作用。在学校,数字电路与逻辑设计分为两部分教学,分别为理论知识与实验操作。大部分老师只承担某一部分的教学工作,很少同时从事两部分的教学工作。这样的话,会使理论与实践脱节,老师各讲各的,学生的学习效率也会相应降低。因此,教师应该重视这一状况。教师是否了解当前学科技术的前沿,能否更多地将当前新工艺、新电子元件、新仪器产品的使用等内容融入课堂教学是至关重要的。

考虑到上述现状,针对学校专业特点和有关课程设置,改革数字电路与逻辑设计课程体系已经成为大家的共识。

2 提高教学质量和效果的策略

2.1 学生学习兴趣的培养与提高

课堂教学是学校教育的基本途径,面对有些学生注意力不够集中,自律能力较弱的状况,怎么样使自己的讲课更有吸引力,激发学生的学习兴趣,这是很多教师关心的问题。针对以上问题,具体实施方案如下:

调研。采用无记名答卷调查以及课间交谈等方式,及时了解学生心理状态和学习状态信息,对学生的电路基础知识、学习兴趣、知识获得取向等进行统计和分析,为制定合适的教学计划、选取恰当的教学内容和教学方式打下基础。充分了解学生的心理状态和学习状态、现有的教学条件和实验条件,为课程教学质量的提高提供理论依据。

激发动机,学以致用。具体内容的授课过程中,尽量将理论内容和实际结合,寻找与人们实际生活息息相关的数字电路,让学生有种数字电路就在身边的感觉,拉近与数字电路的距离,而不是将数字电路作为一门距离很远的知识来学。

营造生动活泼的学习气氛。不论是在课堂教学中还是课后与同学们的交流中,尽量从学生的角度出发,走到学生身边,拉近与学生的距离,在教学过程中穿插一些幽默的语气,适当的让学生放松。

创设问题情境,让学生广开思路。在教学过程中,不是老师一味的讲,适当的时候可以引导学生,让学生自己思考。

关注学习过程,让学生品尝成功。积极关注学生参与学习的程度是教学成功的重要因素。没有学生积极参与的教学应该是失败的。教师在关注学生的同时,要积极创设机会让学生体验成功的。

2.2 教学过程中教学相长的互动性教学模式研究

这其实是一个在教学过程中以谁为主的问题,也是很多教师一直在探索的问题,大学专业课程基础较宽、内容较丰富,要完全实施互动式教学模式会与课堂人数众多以及课时的限制之间发生矛盾。目前一般数字电路基础及专业基础课程的教学,基本仍采用教师详细讲解每个知识点和例题的模式,这是解决上述矛盾的最方便直接但却不是最好的方法。鉴于课时的限制,挑选合适的内容和时间逐步进行互动式教学还是切实可行的。除此之外,最大限度地将重要知识点、特别是在工程实际和深造过程中应用较多的知识点以应用实例体现出来,解决学生“有没有用”和“怎样用”的疑问,也调动起学生的学习积极性;条件允许还可进行实物演示,或提供多媒体材料(如教学录像、flash等)、书面参考资料及电子资源,引导学生掌握科学的学习方法和严谨的科研思维方式,达到互教互学、学有所用、轻松愉快的学习效果。

在“教”环节,充分借鉴现有教改科研成果,形成本课程特色的教学方式,并在内容上恰当加入相关专业领域的科研成果、科研思想来丰富理论内容、拓宽知识面以掌握本专业领域发展现状与趋势,力求把枯燥无味的理论公式、物理概念和科研思路通过具体的数字电路实例表现出来;在“学”环节,积极引导学生在掌握好理论知识前提下,发展分析和设计数字电路的能力,形成“学有所用、学以致用”的科研思维方式,选取合适的内容在合适的时机采取学生分组讨论并鼓励他们走上讲台讲述各自的理解,教师则加以肯定和补充,从而增强学生的学习积极性,逐步形成互动式教学模式。

对这门课程的知识体系、教学方法作进一步的研究,充分利用网络资料,掌握数字电路领域发展现状和趋势,了解并借鉴相关学科的现有科研成果,并恰当运用于本课程教学过程、课后习题布置以及课程考核过程中,使其跟得上科学发展的步伐。借鉴国内外高校的先进教学模式,充分调动学生的学习积极性,选择内容进行分组讨论并鼓励学生走上讲台、辅以教师补充,建立教学相长的互动性教学模式。

2.3 课程设计强化实践能力的研究

本课程的突出特点是其应用性和工程实践性,因此需要通过各种实践教学手段和措施提高学生的认知和应用能力。在课程快结束时可安排课程设计环节,培养学生运用课程中所学到的理论知识与实践技能,独立地解决实际问题。可以设计传统的一些数字电路,例如:声控器、温控器、交通控制灯、序列码发生器和频分计等。学生也可以发挥自己的创造力对这些题目进行改进,扩展它们的功能,或者学生可以对自己感兴趣的数字电路进行研究以及利用所学知识设计某种功能的数字电路。通过课程设计,提高学生独立进行电路调试和分析能力,培养学生接受新事物的能力,开发学生运用所学知识解决实际问题的技能。

根据教学大纲要求,课程配套实验属于验证性实验,这对学生科研动手能力和知识掌握程度的要求并不高,而学生对不同知识点或实验的掌握理解和兴趣不尽相同,对课程中物理概念的理解以及是否需要更深入探索的需求也不一样,因此按照学生的上课情况及基础掌握程度进行分组课程设计,并针对各组按情况给出难度适中的课程设计题目或要求,通过团队合作来设计数字电路系统并对实验现象进行解释和解决,这样非常有助于学生加深理论知识理解和锻炼理论联系实践、团队协作的能力。

大量引入实际范例以激发学生的学习兴趣,从而让学生从被动学向主动学转变,鼓励学生积极思考、勇于探索、勤于实践,利用所学理论知识,能对实际应用进行分析和解释,从而加深对课堂理论知识的理解,达到“在课堂上学理论,在实践中习真知”的效果;通过增加课程设计环节培养学生设计特定功能器件的能力。

2.4 合理灵活的考试机制探索

历年的考核方法教师沿用了期末结合平时成绩的形式,期中成绩占30%,期末成绩占70%。从历年的教学经验来看,这种考核机制存在严重的弊端。许多学生为了能拿到高的平时分,相互抄袭现象非常严重,但是老师在认定抄袭上相当困难,所以不能单纯从作业情况来评定一个学生的平时成绩。很多学生平时不认真预习,上课不认真听讲,课后不认真复习,为了应付期末考试,到考前临时抱佛脚,把过多的时间和精力放在套题和猜题上。集中考前几天时间把历年试卷看下,有的甚至直接背下答案。这样的话,这门课的学习以及教学目的并未真正达到。而且这种考试机制下,会导致老师在教学过程中想到的只是怎么提高学生的期末成绩,素质教育已抛到脑后。基于上述情况,教师改变这种传统的考核机制,结合多种考核形式,综合评定学生,具体方案如下:扩到平时成绩的比例,可适当扩到50%,平时成绩包括平时作业、课堂测验、设计作业以及附加分。不单纯的以作业情况计算平时成绩,而是在教学过程中,随机的进行课堂测验,当场交,将每次测验成绩计入平时分;在结束这门课程之后,学生利用所学知识对某个实际数字电路进行分析或者设计某个数字电路,以大作业的形式上交,并计入平时成绩;最后,还可以在课堂练习的时候,给优先得到正确结果的学生相应奖励,比如平时分加5分。在期末考试出卷上,可以邀请外校相关学科的老师出卷,这样避免每年试卷题目都相似,也遏制学生背题、猜题的想法。

逻辑电路的设计范文5

关键词:组合逻辑电路;Multisim;仿真

DOI:10.16640/ki.37-1222/t.2017.02.257

0 引言

组合逻辑电路是指在任一个时刻,输出的状态只取决于同一个时刻各输入状态的组合,而与电路之前的状态无关。组合逻辑电路的分析和设计是数字电路中一个重要的组成部分[1][2] 。“数字电路与逻辑设计”是电子类专业一门重要的专业基础课,同时又是一门实践性很强的课程。随着电子技术产业的高速发展,新器件、新电路不断地涌现,现有实验室的条件已经无法满足各种电路的设计和调试的要求,这在一定程度上影响了电路相关实验教学的效果,而且影响了高校对学生创新能力的培养。此时,在实验教学中引入具有强大分析、仿真电路功能的电路仿真设计软件Multisim,可以较好地解决这一问题。

在数字电路与逻辑设计实验中引入该仿真设计软件,结合传统的实验教学,就可以增开大量设计性和综合性实验,在激发学生学习兴趣的同时,也培养了学生的创新能力和动手能力[3]。本文将以一个组合逻辑电路为例,对其进行理论分析和仿真实验分析,从而得出Multisim在组合逻辑电路分析实验教学中的重要作用。

1 组合逻辑电路理论分析

组合逻辑电路分析的任务是在给定逻辑电路的基础上,通过分析、归纳,确定其逻辑功能[4]。它一般分为5个步骤:组合逻辑电路;逻辑表达式;最简表达式(经化简变换得到);真值表;逻辑功能。

现有一组合逻辑电路,如图1所示,以此为例,来进行分析。

根据逻辑图可以逐级写出逻辑表达式:

通过化简与变换,是表达式变换成与-或表达式:

由表达式列出真值表(如表1):

分析逻辑功能:

由真值表可知,当A、B、C三个变量不一致时,电路输出为1;当A、B、C相同时,即同为0,或同为1时,电路输出为0。所以这个电路称为不一致电路。

2 应用Multisim进行组合逻辑电路分析

2.1 创建仿真电路

根据图1所示的逻辑电路图,在Multisim 12.0中创建仿真电路。待仿真电路如图2所示,对逻辑电路进行了转换,其中,三个开关分别接VCC(表示输入为1)和接地(表示输入为0),万用表用来测量输出电压,灯泡的亮和灭表示输出为逻辑“1”或逻辑“0”。为了便于分析,我们还加入了逻辑变换器,它可以将逻辑电路转换成真值表和逻辑表达式,也可以将真值表转换成逻辑表达式和逻辑电路。

由逻辑变换器得到的真值表如图3所示,与表1比较后可以发现,由逻辑电路图分析得到的真值表和由逻辑变换器得到的真值表是一致的。

2.2 仿真分析

在仿真电路的基础上,我们可以运行仿真。分别改变三个开关的状态,即改变输入变量A、B、C,从000到111,依次测试输出电压的高低电平,以及灯泡的亮和灭,如表2所示。其中,输出电压5V表示输出为高电平,输出电压0V表示输出为低电平。根据输出结果,可以看出,仿真结果与前面得到的真值表的结果是相符的。

3 结束语

以文中所给的不一致电路为例,分别进行了传统的组合逻辑电路理论分析以及应用Multisim对组合逻辑电路进行仿真实验分析,对两者进行了比较,根据实验测试所得到的实验结果对理论分析进行了验证,并证明了两者是一致的。总之,用Multisim软件对组合逻辑电路进行仿真实验,既能激发学生的学习兴趣,也能极大地提高教师的教学水平。在实际的教学过程中,充分利用Multisim仿真的桥梁作用,可以将理论教学、 仿真和实验教学三位一体,有效地结合起来,充分地发挥作用,培养出更多创新型的人才。

参考文献:

[1]闵卫锋.基于Multisim2001的组合逻辑电路分析与设计[J].科技创新导报,2008,2:80

[2]黄济,李泽彬,汪明珠,姚有峰.组合逻辑电路设计与Multisim仿真[J].高科技产品研发,2012:58-98.

[3]张亚君,陈龙,牛小燕.Multisim在数字电路与逻辑设计实验教学中的应用[J].实验技术与管理,2008(08):108-114.

[4]张惠敏,刘海燕.电工电子技术[M].郑州:河南科学技术出版社,2014:192.

[5]包敬海,张大平,陆安山.Multisim在组合逻辑电路设计中的应用[J].钦州学院学报,2008(12):30-33.

逻辑电路的设计范文6

关键词:逻辑设计;电气联锁;配电系统;可靠性

中图分类号:TU976.1 文献标识码:B 文章编号:1009-9166(2011)032(C)-0243-02

前言:变压器是电网中最重要的设备之一,由于其连续运行的时间长,为使变压器安全经济的运行和提高供电的可靠性,在运行中会将两台或两台以上的变压器并列运行,即将变压器的一次绕组并联于同一电压母线上,另一母线则并联二次绕组运行。正常运行时,联络断路分断,各变压器通过二次母线供给本段负荷,即单母线分段运行。当一台变压器发生故障时,并列运行的其它变压器仍可继续运行,以保证重要用户的用电;或者当变压器需要检修时,可以先并联上备用变压器,再将要检修的变压器停电检修,既能保证变压器的计划检修,又能保证不中断供电,保证对整个电网的稳定支持。为了防止供电回路的开关同时闭合,造成严重后果,必须设置联锁装置,通过对闭合回路用辅助触头进行闭锁来实现。因此,为保护变压器的运行安全,各断路器间的联锁非常重要,这样不仅可以减少消耗,改善电网功率,还可以提高效率和供电的可靠性。

电力系统在长期运行过程中经常发生误操作,当配电系统简单时,我们工作人员可根据经验进行电气联锁开关设计;当复杂时,设计就显得很困难。随着防误技术的应用和发展,逻辑设计方法得以广泛应用,从而保证供电系统绝对的可靠。

一、逻辑设计方法

(一)逻辑设计思维方法

逻辑设计的逻辑思维方法有正向逻辑思维方法、逆向逻辑思维方法、双向逻辑思维方法和整体局部逻辑思维方法,逻辑思维贯穿于逻辑设计的全过程,正确的逻辑思维在逻辑设计中具有极重要的作用。自然界中的逻辑问题有简单的,也有复杂的,对这些逻辑问题进行逻辑设计,首先要有比较合理的逻辑思维。本文主要采用正向逻辑思维方法,它是逻辑设计中最常用的逻辑思维方法,即从逻辑条件入手,根据逻辑要求,逐步分析,最后求出逻辑函数,画出逻辑电路图,在实际应用上选用有关逻辑器件得以实现。

(二)逻辑设计方法

首先,我们必须分析工艺要求。在进行系统设计之前,我们必须了解控制对象的工艺要求,包括仔细分析被控对象的工作过程,明确在一个完整的循环过程中包含哪些动作,以及每个动作的启动信号和停止信号。

其次,进行逻辑设计。由于每个动作只有工作和停止两种状态,即“1”和“0”两种状态,所以可用逻辑代数的分析方法分析出影响每一个动作的逻辑关系,并列出逻辑方程。

最后,进行整体控制梯形图的设计。我们应根据对控制对象的要求设计各动作间的互锁环节、顺序动作环节,把每个控制的逻辑关系设计完成后,再按工艺要求将各环节组成一个共同的逻辑方程,然后将其转化成一个比较完整的控制系统梯形图,并分析其动作的先后顺序是否完善,互锁、顺序等要求是否实现,每一动作的启动信号和停止信号的使用是否合理。

二、逻辑设计在配电系统电气联锁中的应用

例如:三个变压器进线开关Q1、Q2、Q3和两个联络开关Q4、Q5的低压配电系统,要求三台变压器不能并列运行,如图1所示。因此,控制线路要保证任何两个变压器进线开关在联络开关合闸的情况下,不能同时合闸。这是较为复杂的控制线路,我们采用逻辑设计的方法设计,既简单又可靠。

根据供电一次系统(见图1)对控制线路的要求,各开关控制线路的逻辑设计如下,并用Q表示开关的常开触点 表示常闭触点;YC表示Q的合闸线圈得电,开关可合闸, 表示线圈失电,开关不能合闸。

(一)1号变压器进线开关Q1,不可以合闸的逻辑表达式为:

Q1开关可以合闸的逻辑式,如下式1:

由式1可得Q1的合闸条件简图,见图2。

图2

(二)2号变压器进线开关Q2,不可以合闸的逻辑表达式为:

YC2=Q1×Q4+Q5×Q3,Q2开关可以合闸的逻辑式,见式2:

由式2可得Q2的合闸条件简图,见图3。

图4

(三)3号变压器进线开关Q3,不可以合闸的逻辑表达式为:

Q3开关可以合闸的逻辑式,见式3:

由式3可得Q3的合闸条件简图类同图2,现作省略。

(四)联络开关Q4,不可以合闸的逻辑表达式为式4,由式4可得Q4的合闸条件简图类同图2,现作省略。如下:

(五)联络开关Q5,由式5可得Q5的合闸条件简图类同图2,不可以合闸的逻辑表达式为:

Q5可以合闸的逻辑式,见式5,如下:

假如开关为ABB-FS型,则可以得出Q1开关合闸的电气原理图,见图4。同理,可以得出其他开关的电气原理图。所以用逻辑设计方法进行电气联锁开关设计,可以使设计变得容易,从而保证供电系统可靠性增强。

结语:违规操作是电力系统出现事故的重要原因,而通过合理设计联锁形式,就可以在技术上直接避免违规操作。对于比较简单的控制系统,工作人员可根据经验进行电气联锁开关设计。对于比较复杂的控制系统,采用这种逻辑设计方法是非常有效的,即使没有丰富计算机知识的人也能掌握这种方法。但是在逻辑设计中,我们工程人员不仅要有合理的逻辑思维方法,还要有科学的逻辑设计方法,这样才能使逻辑设计获得圆满成功。

作者单位:神华宁夏煤业集团汝箕沟煤矿

作者简介:孟凡华(1974― ),助理工程师,现任神华宁夏煤业集团汝箕沟煤矿机电副总工程师。

参考文献:

[1]余盂尝.数字电子技术基础简明教程(第2版)[M].北京:高等教育出版社,1999.