eda技术论文范例6篇

eda技术论文

eda技术论文范文1

【关键词】电子工程设计 EDA技术 研究分析

随着电子技术的发展革新,应用系统逐步朝向大容量、小型化、快速化的方向发展。数字化的设计系统也逐步由组合芯片向单片系统发展。EDA技术不仅带来了电子产品领域和系统开发的革命性变革,这也是科技发展与提高的必然产物。对于EDA技术的了解和对其在电子工程设计中的关键性分析都是十分有意义的。

1 EDA技术概述

所谓EDA技术,就是电子设计自动化,由CAE、CAD、CAM等计算机概念发展出现。EDA技术以计算机为主要工具,集合了图形学、数据库、拓扑逻辑、优化理论、计算数学、图论等学科,形成最新的理论体系,是微电子技术、计算机信息技术、电路理论、信号处理和信号分析的结晶。现代化的EDA技术具备很多特点,普遍采用了“自顶向下”的程序进行设计,保证了设计方案的整体优化,EDA技术的自动化程度更高,在设计过程中能够进行各类级别的调试、纠错和仿真,设计者能够及时发现结构设计的错误,避免了设计上的工作浪费,设计人员也能抛开细枝末节的问题,将更多精力集中于系统开发,保证了设计的低成本、高效率、循环快、周期短。EDA技术还能实现并行操作,建立起并行工程框架的结构环境,支持更多人同时并行电子工程的技术开发和设计。

2 EDA技术发展

电子工程设计的EDA技术自出现以来,大致可以分为三个历史时期:

2.1 初级阶段

大约在二十世纪的七十年代,早期的EDA技术处于CAD阶段,出现了小规模的集成电路,由于传统手工在制图设计中的集成电路和集成电路板的花费大、效率低、周期长,借助于计算机技术的设计印刷,采取了CAD工具实现布图布线的二维平面编辑和分析,取代了高重复性的传统工艺。

2.2 发展阶段

到了二十世纪八十年代,EDA技术进入了发展完善的阶段。集成电路的规模逐渐扩大,电子系统日益复杂化,人们深入研究软件开发,将CAD集成为系统,加强了电路的机构设计和功能设计,这一时期的EDA技术已经开始延伸到半导体芯片设计的领域。

2.3 成熟阶段

经过了长期的发展,直至二十世纪九十年代,微电子技术的发展突飞猛进,单个芯片的集成就能够达到几百万或是几千万甚至上亿的晶体管,这种科技现状对EDA技术提出更高的要求,推动了EDA技术的发展。各类技术公司陆续开发出大规模EDA软件系统,出现了系统级仿真、高级语言描述和综合技术的EDA技术。

3 EDA技术软件

3.1 EWB软件

所谓EWB是一种基于PC的电子设计软件,具备了集成化工具、仿真器、原理图输入、分析、设计文件夹、接口等六大特点。

3.2 PROTEL软件

该技术软件广泛应用了Prote199,主要由电路原理图的设计系统和印刷电路板的设计系统两大部分组成。高层次的设计技术在近年的国际EDA技术领域开发、研究、应用中成为热门课题,并且迅速发展,成果显著。该领域主要包括了硬件语言描述、高层次模拟、高层次的综合技术等,伴随着科技水平的提升,EDA技术也必然会朝向更高层次的自动化设计技术不断发展。

4 EDA在电子工程设计中的应用技术流程

近年来的EDA技术深入到了各个领域,包括了通信、医药、化工、生物、航空航天等等,但是在电子工程设计的领域中应用的最为突出,主要利用了EDA技术为虚拟仪器的测试产品提供了技术支持。EDA技术在电子工程设计的领域中,主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

4.1 源程序

通常情况下,电子工程设计首要的步骤就是通过EDA技术领域中的器件软件,利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用,都需要应用EDA工具进行排错和编译的工作,文件能够实现格式的转化,为逻辑综合分析提供了准备工作。只要输入了源程序,就能够实现仿真器的仿真。

4.2 逻辑综合

在源程序中应用了实现了VHDL的格式转化之后,就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言,这就是逻辑综合。通过逻辑综合的过程,这可以看作是电子设计的目标优化过程,将文件输入仿真器,实施仿真操作,保持功效和结果的一致性。

4.3 时序仿真

在实现了逻辑综合透配之后,就可以进行时序仿真的环节了,所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中,开始部分仿真。VHDL仿真器考虑到了器件特性,所以适配后的时序仿真结果较为精确。

4.4 仿真分析

在确定了电子工程设计方案之后,利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用EDA技术实现系统环节的函数传递,选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中,能够应用到方案构思和理论验证等方面。

5 结束语

伴随着科学的发展,技术的革新,EDA技术的领域也在向高层次的技术推广和开发,成效十分显著。本篇论文我们对EDA技术的相关信息进行了详细的分析很研究,研究表明,EDA技术对于我国的电子工程设计改革具有巨大的推动力,基于EDA技术领域的电子产品在专业化程度和使用性能上都要比传统的设计方案制造的产品更加优化。将EDA技术应用到电子工程设计的领域当中,对于电子产品的优化和工作效率的提高以及产品附加值的拓展都有很大的作用。

参考文献

[1]白杨.电子工程设计中EDA技术的应用[J].科海故事博览.科技探索,2012(6):242.

[2]于洋.分析EDA技术在电子工程设计中的应用[J].电子制作,2012(12):83.

[3]徐冠宇.浅谈电子工程设计的EDA技术[J].中国科技纵横,2011(9):328.

eda技术论文范文2

【关键词】EDA技术 发展背景 电子线路设计 应用分析

一、前言

作为现代电子设计技术的核心,EDA(Electronic Design Automation)技术是以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,以可编程器件PLD(Programmable Logic Device)为实验载体,依赖功能强大的计算机,在EDA工具软件平台上,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线)以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术的应用使得设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大的提高了设计效率,缩短了设计周期,节省了设计成本。EDA技术涉及面广,内容丰富,融合了的微电子、电路系统、计算机应用等多个学科。EDA技术的本质是电子产品的自动化设计过程,其相关设定分别如下:工作平台为计算机,设计语言为硬件描述语言,实验载体为可编程器件,应用方向为电子系统设计。在电子线路设计中应用EDA技术可实现一体化设计,周期时间大幅度缩短,设计效率得到进一步提升。因此,对EDA技术在电子线路设计中的应用进行分析,对于EDA技术的现代应用和电子线路设计的长足发展有着积极的现实意义。

二、EDA技术的产生背景与内容

电子设计自动化的简称就是EDA技术,因为现代社会计算机,集成电路和电子系统的高速发展,所以电子设计技术就应运而生了,他的出现可以提高人们对于电子电路系统设计的能力,这种技术对于应用电子技术,计算机技术和智能化技术都有集成,所以能够对于各种电子通信方面的设计进行辅助的设计,目前来看,该项技术主要是对于IC的设计,电子线路的设计以及PCB板的设计起到了一定的作用,而且在日常运用的范围较广,当前,因为电子技术和计算机技术对其的推进作用,所以在国家的各个行业都有了大量的应用,比如国防,昂天,仪器仪表,工业自动化等等,该项技术正在以惊人的速度发展,逐渐变成了当今电子技术发展的前沿。

(一)EDA技术的产生背景

上世纪后半期,计算机和集成电路迅速发展起来,电子技术面临着新的机遇和严峻的考验。因电子技术周期不断缩短,其与专用集成电路设计难度日益提升间的矛盾日益加剧。这一形势下,就需要应用高层次的设计工具和新的设计方法来解决这一问题,而EDA技术就是在这一现实背景下应运而生的。

(二)EDA技术的内容

EDA技术主要包括四方面内容:第一,可编程逻辑器件(大规模);第二,硬件描述语言;第三,软件研发工具;第四,试验开发系统。EDA技术在电子系统设计的应用过程当中,其四方面内容依次扮演着载体、表达手段、设计工具、下载与硬件验证工具。

三、EDA技术的发展

回顾自20实际90年代初到如今近30年电子设计技术的发展历程,EDA工具的发展经历大致可划分为三个阶段:计算机辅助设计(CAD),计算机辅助工程(CAE)和电子设计自动化(EDA)。

(一)计算机辅助设计CAD(Computer Aided Design)阶段

20世纪70年代是EDA技术发展的初期阶段,人们开始使用计算机辅助进行IC版图编辑和PCB布局布线,使设计者从繁琐,重负的计算和绘图中解脱出来,由于PCB布局布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能较差。

(二)计算机辅助工程设计CAE(Computer Aided Engineering)阶段

20世纪80年代为CAE阶段,此时EDA工具主要以逻辑模拟,定时分析,故障仿真,自动布局和布线为核心,如果说CAD工具代替了设计工作中绘图的重复劳动,则CAE工具则代替了设计师的部分工作。然而,大部分从原理图出发的EDA工具仍不能满足复杂电子系统的设计要求。

(三)电子设计自动化EDA(Electronic Design Automation)阶段

20世界90年代,设计工程师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。可以说,20世纪90年代EDA技术的发展是电子电路设计的革命。

四、EDA技术在电子系统设计中的理论应用

(一)EDA技术在电子系统设计中的应用优势

在电子系统设计中应用EDA技术,使得设计人员不必通过门级原理图来对电路进行描述,而只需对设计目标功能作出描述。电路细节方面的的束缚得以摆脱,设计人员能够将更多精力放在概念构思和创造性方案上。而当通过高层次描述将这些概念构思输入计算机后,EDA技术便可以规则驱动形式来实现整个设计的自动完成。这样,新概念能够有效迅速地转化为产品,产品研制周期大大缩短。

(二)EDA技术在电子系统设计中的基本应用步骤

高层次设计法是EDA技术在电子系统设计应用中的有效形式,其基本步骤如下:第一,通过“自上而下”形式的设计手段来划分系统;第二,完成VHDL代码的输入,并应用图形法来EDA实验室进行仿真输入;第三,对设计输入做编译处理,使其转化为VHDL标准文件;第四,采用仿真器来优化处理VHDL源代码,进而生成网表文件;第五,参考网表文件,应用适配器件来对对具体目标器件做逻辑映射操作;第六,经下载电缆或编程器来讲器件编程文件载入目标芯片中,如需更换综合库,只需通过ASIC的形式即可完成。

五、EDA技术在电子线路设计中的现实应用

(一)分频器的设计要求

分频器是基本的电子线路,依据设计的不同要求,通常会遇到半整数分频、整数分频等,等占空比、非等占空比也会成为设计有时的要求。同一设计中,多种形式的分频要求也往往存在。鉴于EDA技术的设计应用,本文将设计目标定位基准信号整数分频的实现。

(二)分频器的设计思路

假设系统输入信号为时钟信号,分别设定其频率、周期、占空比为60MHZ、20微秒、30%。之后将输入信号视作敏感信号,并进行4分频处理,这就就得出相应的输出信号。同时,设置一个复位信号于另外系统中,并配备相应计数器,随之融入进程中即可实现设计目标。

(三)分频器的设计实现

分频器的设计实现分六步来进行,第一步,找到应许程序中的QuartusII标志,将其打开;第二步,进行新工程项目的建立。在已有工程项目完成的情况下,作“Open Existing Project”的单击处理,并对项目保存路径进行选择。这里,即可应用原有文件夹,也可建立新文件夹,随之输入相应的项目名称,便可在项目中完成文件的加载。之后,进行FPGA芯片的选择,以试验箱芯片型号为依据来作出选择,并通过对芯片封装、引脚数、速度三栏自上而下的选择,来将芯片选择范围进一步缩小。完成芯片选择后,来对所需调用的EDA工具作出选择,因本文不涉及调动,故可直接点击下一步,待出现工程对话框后,点击完成即完成本步操作;第三步,建立硬件描述语言文件。单击工具栏File菜单栏正下方的New图标,输入已经编写好的语言程序于程序输入框内。待输入完毕后,加以保存并确定文件名(文件名应与硬件描述语文和工程名中的模块名相一致)。这时,单击工具栏中编译图标,如无错误,电机确定即可,如弹出警告信息,其信息中对设计问题有相应的说明;第四步,建立仿真波形图。类比于上一步骤,不同之处,在于选择“New”中的波形文件,双击其下空白处,进入到时序仿真端口当中,单机“OK”即完成仿真端口的选择;第五步,仿真。在菜单栏中对仿真截止时间进行设置,通常情况下位20微秒。之后,进行输入的设置,在时钟对话框中对起始时间、周期、结束时间进行设置。最后对低电平或高电平数据范围进行选择,完成后保存,且注意应保持波形文件同模块名、项目名的一致性;第六步,编译。对仿真波形图进行编译,使其每隔四个时钟周期,能够在输出端得到等占空比的四分频波形。之后,改变占空比,或对计数器技术状态值作出稍微改变,多种形式分频随即实现。

六、结束语

通过论述EDA技术在电子线路设计中的现实应用,可以看出,EDA技术简化了繁琐的设计工作,表现出较好的应用效果,能够满足电子线路的设计要求。21世纪是EDA技术的发展高速期,其应用正在朝着数模混合电路和模拟电路的方向迈进,EDA技术必将突破电子设计范畴,来进入其他领域。且随着EDA技术设计应用的日益成熟,其定将在设计领域得到更为广泛的应用。

参考文献:

[1] 邱军兴.EDA技术在电路设计中的地位和作用[J].西安文理学院学报.2009(8).

[2] 贾民力.EDA仿真技术在电子线路设计中的应用[J].青海大学学报.2009(23).

[3] 崔葛.基于FPGA的数字电路系统设计[M].西安:电子科技大学出版社.2008 .

[4] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).

[5] 马楠.周焱.EDA在射频电子电路设计中的应用[J].山西电子技术.2005(2).

[6] 王树昆等.EDA仿真环境的研究与应用[J].山东省青年管理干部学院学报.2006(1).

[7] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).

[8] 王平.EDA技术的电子系统设计[J].中国科技博览.2011(38).

[9] 杜玉远.EDA设计快速入门[J].电子世界.2004.

[10] 路而红.电子设计自动化应用技术[M].北京:高等教育出版社.2006.

[11] 徐宏庆.电子线路设计中仿真设计软件的应用[J]..中国现代教育装备.2010(2).

[12] 高有华,龚淑秋,李忠波.基于EDA电子线路的仿真研究[J].沈阳工业大学学报.2002(4).

eda技术论文范文3

关键词:EDA技术,项目化教学方法,课程改革

 

EDA技术是以数字电子技术课程知识为基础,具有较强实践性、工程性的专业课程。将数字电路设计从简单元器件单元电路设计,EWB软件仿真提到了更高一级的可编程操作平台上,进一步巩固和提高学生电子电路综合设计能力。但是,传统的教学模式是将两门课程分开,先上数字电路,后上EDA技术,分两学期授课。这样的教学模式存在弊端,减弱了课程之间的联系,降低了学生对数字电路理论的认识程度。通过对EDA技术课程的教学改革,以实训的方式采用项目教学法,使学生在较短的时间内掌握EDA技术基础及其实验系统,从数字系统的单元电路,如译码器、计数器等入手,加深对数字电路基础理论的认识,逐渐完成数字系统设计。

1. EDA技术及其在教学中的应用

1.1 EDA技术

EDA技术即电子设计自动化(Electronic DesignAutomation)是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果而形成的一门新技术毕业论文格式,是一种能够设计和仿真电子电路或系统的软件工具。采用”自顶向下”的层次化设计,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。图1为一个典型的EDA设计流程。

图1 EDA设计流程图

1.2 EDA技术在教学中的应用

在教学过程中,EDA技术利用计算机系统强大的数据处理能力,以及配有输入输出器件(开关、按键、数码管、发光二极管等)、标准并口、RS232串口、DAC和ADC电路、多功能扩展接口的基于SRAM的FPGA器件EDA硬件开发平台,使得在电子设计的各个阶段、各个层次可以进行模拟验证,保证设计过程的正确性。从而使数字系统设计起来更加容易,让学生从传统的电路离散元件的安装、焊接、调试工作中解放出来,将精力集中在电路的设计上。同时,采用EDA技术实现数字电路设计,不但提高了系统的稳定性,也增强了系统的灵活性,方便学生对电路进行修改、升级,让实验不在单调的局限于几个固定的内容,使教学更上一个台阶,学生的开发创新能力进一步得到提高。

2.课程教学改革实施

2.1课程改革思路

课程改革本着体现巩固数字电路基础,掌握现代电子设计自动化技术的原则来处理和安排EDA技术教学内容。打破传统的从EDA技术概述、VHDL语言特点、VHDL语句等入手的按部就班的教学方法,以设计应用为基本要求,开发基于工作过程的项目化课程,以工作任务为中心组织课程内容,让学生在完成具体项目的过程中来构建相关理论知识。将EDA技术分为四个方面的内容,即:可编程逻辑器件、硬件描述语言、软件开发工具、实验开发系统,其中,可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。采用项目化教学方法,以实训的方式展开,让学生在“学中做,做中学”。

2.2课程改革措施

以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。在典型示例的说明中,自然地给出完整的VHDL描述,同时给出其综合后的表现该电路系统功能的时序波形图及硬件仿真效果。通过一些简单、直观、典型的实例毕业论文格式,将VHDL中最核心、最基本的内容解释清楚,使学生在很短的时间内就能有效地掌握VHDL的主干内容,并付诸设计实践。这种教学方法突破传统的VHDL语言教学模式和流程,将语言与EDA工程技术有机结合,以实现良好的教学效果,同时大大缩短了授课时数。表1为课程具体内容及实训学时分配。

 

能力

目标

学习情境

项目载体

课时

QuartusⅡ开发工具使用能力

QuartusⅡ开发环境、实验系统

二选一音频发生器设计

6

VHDL语言编程能力

VHDL语言基本结构

计数器电路设计

6

VHDL语言并行语句

8位加法器设计

8

VHDL语言顺序语句

7段数码显示译码器设计

8

VHDL语言综合运用

数控分频器的设计

8

层次化调用方法

4位加减法器的设计

4

综合开发调试能力

8位16进制频率计设计;

十字路口交通灯设计;

数字钟设计;

波形信号发生器设计,等。

(任选一题)

20

总计

 

eda技术论文范文4

一、教学整合的意义

根据高等职业教育培养目标的要求,结合教育部大力推行的高职高专教学改革,高职院校电类专业对部分课程进行了教学改革。《数字电路与EDA技术》这门课程就是将数字电路和EDA技术的教学进行整合。

数字电路课程是电类专业的专业基础课,通过对本门课程的学习,使学生掌握典型的数字电路的组成、工作原理和工作特性,能够设计一些逻辑功能电路,并为专业主干课程的学习打下基础。对于数字电路的设计,传统的设计方法是以逻辑门和触发器等通用器件为载体,以真值表和逻辑方程为表达方式,依靠手工调试。随着数字电子技术的迅速发展,特别是专用电子集成电路的迅速发展,基于EDA技术的设计方法成为数字系统设计的主流。EDA技术就是以计算机为工具,在EDA软件开发平台上,使用硬件描述语言完成设计文件,然后由计算机自动完成逻辑编译、化简、分割、综合、仿真等,最终对特定目标芯片进行适配编译、逻辑映射和编程下载。

EDA技术的设计方法正在成为现代数字系统设计的主流,作为即将成为工程技术人员的职业技术学院的电类专业的学生只懂电子技术的基本理论和方法,而不懂如何设计电路,会限制就业的岗位。实际上数字电路和EDA技术是不能分家的,因为前者是理论基础,后者是工具,将两者整合既能学好理论又能提高实践技能。如果作为两个课程分别学习则不适应高职高专的学制长度。因此,将数字电路与EDA技术有机地融为一体是高职教育的要求和未来发展的需求。

二、教学方法探讨

在整合后的课程中我们把EDA技术贯穿于数字电路课程教学全过程。例如,在讲授门电路时,就开始用EDA软件仿真演示,熟悉用原理图输入一个简单门电路的过程,通过编译、功能仿真检验门电路的功能,可以加深学生对门电路知识的理解;在讲授组合逻辑电路时,引入硬件描述语言的设计方法,并介绍基于EDA技术的数字电路设计方法;在讲授时序逻辑电路时,可以引入一些简单的综合性的电路设计,为学生创造一个宽阔的设计空间。在开始讲解基于EDA技术的数字电路设计方法时,可以通过引入简单的数字电路的设计流程,使学生从宏观上对EDA设计方法有一个整体的了解,让学生在潜意识里建立这部分内容的知识框架。下面简单介绍组合逻辑电路中的二选一数据选择器的EDA设计流程:

(1)编写硬件描述语言(以VHDL语言为例)。在EDA编程软件中输入设计源文件,如图1所示。

(2)逻辑编译。逻辑编译过程包括检查设计源文件是否有误,进而提取网表、进行逻辑综合和器件的适配,最后形成编程文件。

(3)功能仿真。通过模拟仿真测试电路的逻辑功能是否达到设计要求,仿真波形如图2所示。

(4)锁定引脚。将程序中各端口名称与硬件电路中的各引脚对应。

(5)编程下载。功能仿真成功后,就可以将设计好的项目下载到逻辑器件中,实现既定的功能。

在课程教学中,我们采用项目教学的方法,制定一系列由易到难的项目,例如,基本门电路的设计、数据选择器的设计、全加器的设计、数字频率计的设计、交通信号灯控制器的设计、数字钟的设计等。通过各个项目展开知识点的讲解,包括数字电路的基础知识、EDA技术的入门、数字电路的分析方法、原理图的设计方法、硬件描述语言的描述方法及软件仿真和硬件下载等。在教学中尽可能地将课堂搬到实验室,让学生边学边练,将理论教学与实验教学融为一体。教学可以一部分安排在数字电路实验室,一部分安排在EDA实验室,比如对于一些简单的数字电路可以安排用数字电路实验箱进行一般的实验验证,使学生知道如何搭建一个简单的电路,如何验证一个电路的功能,从而对数字电路产生一个感性的认识。在EDA实验室,学生可以学习用EDA技术设计数字电路,包括原理图或硬件描述语言的输入、编译、功能仿真、引脚分配、下载等。

eda技术论文范文5

一、eda技术概念及现状介绍

eda是电子设计自动化(electronicdesignautomation)的缩写,在20世纪90年代初从计算机辅助设计(cad)、计算机辅助制造(cam)、计算机辅助测试(cat)和计算机辅助工程(cae)的概念发展而来的。eda技术就是以计算机为工具,设计者在eda软件平台上,用硬件描述语言hdl完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。是计算机信息技术、微电子技术、电路理论、信息分析与信号处理的结晶。现在对eda的概念或范畴用得很宽。包括在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等各个领域,都有eda的应用。eda在教学、科研、产品设计与制造等各方面发挥着重要的作用。在教学方面,现在几乎所有理工科类的高校都有开设了eda课程。主要是让学生了解eda的基本概念和基本原理、掌握用hdl语言编写规范、掌握逻辑综合的理论和算法、使用eda工本文由收集整理具进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multisim、pspice)和pld开发工具(如altera/xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multisim或pspice)进行电路设计与仿真;利用虚拟仪器进行产品测试;将cpld/fpga器件实际应用到仪器设备中;从事pcb设计和asic设计等。在产品设计与制造方面,包括计算机仿真,产品开发中的eda工具应用、系统级模拟及测试环境的仿真,生产流水线的eda技术应用、产品测试等各个环节。eda软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如autocad软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

二、eda技术的特点

eda技术之所成为今天电子信息工程中的重要技术,具有“自顶向下(top—down)”的设计程序,这就确保设计方案整体的合理化;由于eda采用高级语言描述,有语言公开可利用、描述范围广、可以系统编程和现场编程等特点;自动化程度高所以可以进行各级的仿真、纠错和调试工作。这些特点促使eda技术得到广泛的应用。

三、eda技术的作用

eda技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到电路特性的优化设计。由于受到测试手段和仪器精度限制,测试的时候会出现很多问题,dea技术方便得全功能测试解决了数据测试和特性分析的问题。

四、eda常用软件

eda软件发展很快,目前被我国广泛应用的有:multisim7(原ewb的最新版本)、pspice、orcad、pcad、protel、viewlogic、mentor、graphics、synopsys、lsiiogic、cadence、microsim等等。下面简单介绍一下pcb设计软件、ic设计软件、pld设计工具及其它eda软件。

1、pcb设计软件。pcb(printed-circuitboard)设计软件更是种类繁多,如protel、orcad、viewlogic、powerpcb、cadencepsd、mentorgraphices的expeditionpcb、zukencadstart、winboard/windraft/ivex-spice、pcbstudio、tango、pcbwizard(与livewire配套的pcb制作软件包)、ultiboard7(与multisim2001配套的pcb制作软件包)等等。

2、ic设计软件。ic设计工具也很多,asic设计领域有名

转贴于

的软件供应商主要有cadence、mentorgraphics和synopsys。中国华大公司也提供asic设计软件(熊猫2000)。

eda技术论文范文6

关键词:EDA技术;教学方法;实践教学

中图分类号:G4文献标识码:A文章编号:1672-3198(2013)07-0144-02

0引言

随着微电子技术和计算机技术的飞速发展,IC产业技术的发展经历了电路集成、功能集成、技术集成,直到今天基于计算机硬件的知识集成。其目的就是将电子产品系统电路不断集成到芯片中去。大规模集成电路的设计已经成为一个重要的高科技领域,而其基础与核心就是EDA技术。三本院校的学生一般基础较差,自我学习的主动性相对一本、二本院校的学生也是很差的。结合这些特点,三本院校教育学生的宗旨重点是培养他们的实际动手能力,这就要求学生在学习理论的基础上,多进实验室,更要利用自己在寒暑假的时间到实际中去锻炼。EDA技术作为大规模集成电路的基础与核心,它要求学生时刻注重电子技术发展的动向及最新成果,同时,该课程是电子信息专业的一门非常重要的专业课程,实践性强,对学生动手能力的培养能起到一个很好的作用,符合三本院校培养学生的目的。基于此,在三本院校对EDA课程进行教学改革和探索,提高学生面向社会的能力就显得尤为重要了。

1三本院校EDA技术课程传统教学方法中存在的问题

EDA技术这门专业课程涉及的教学知识点相当多,要想学好这门课程,学生首先必须具备数字电路的知识,其次还要掌握一些电路设计的相关方法。EDA技术课程的教学环节主要由理论教学和实践教学这两部分来构成。目前,各大院校对于EDA课程教学的学时安排都各不相同,不管如何安排教学学时,如何让学生在有限的学时内掌握数字系统的设计及开发,即EDA技术才是各大院校急需解决的问题。目前三本院校的学生在EDA技术的学习过程中,普遍存在重理论,轻实践;重概念,轻应用;重考试,轻能力的情况。对EDA课程的教学方式主要是以课程讲授为主,课程讲授内容主要是关于硬件编程语言的相关语法知识和程序的构成,对具体问题的HDL代码设计和分析等一些实际的能力的培养环节相对较薄弱,创新精神和实践能力的培养问题则更加缺乏。实验课的内容主要是针对课本内容所处的一些验证性实验,对于设计性的实验是比较少的,这主要是因为学生用HDL独立编写完整程序的能力较差,编程的能力将直接影响数字系统的开发,这些能力的欠缺也会使学生在社会工作中处于弱势。另外,学生在做实验时,往往只完成老师所分配的任务,对于与该任务相关的扩展性的内容,则完全置之不理,一些基础较差的学生不是直接拷贝别的同学的程序就是完全不动手,对于这些学生的问题也是在教学过程中迫切需要解决的问题。所以在有限的教学中如何培养社会需要的具有实践能力和创新能力的学生,就需要教师在教学中不断探索和改革。

2三本院校EDA技术课程教学改革的探索

EDA技术课程强调实用性,不仅需要扎实掌握基础理论知识,还要具有上机操作和编程的实际动手能力,因此要保证良好的教学效果,还需加强理论教学和实践教学之间的联系,使学生学习书本上的抽象理论后,能迅速转换思维到具体的应用操作中去。目前,各专业中EDA教学实验课程的普及率和渗透率极高,几乎所有的实验项目都部分或全部融入了EDA技术,包括数字电路、计算机组成与设计、计算机接口技术、数字通信技术、嵌入式系统、DSP等实验内容,并且更多的关注创新性实验。同时,业界有三个关键词与大学生的就业和发展关系密切,即数字技术、创新精神和实践能力。很明显,这就要求各院校注重EDA技术的教学,培养学生学习该课程的兴趣。三本院校的学生要想在社会中和一本、二本院校的学生竞争,获取自己的社会价值,更要注重培养实践动手能力。EDA技术这门课程是电子专业学生学习和培养动手能力比较具有代表性。

2.1EDA技术课程理论环节教学的探新

笔者所在院校的EDA技术课程选用的是由潘松主编的《EDA技术实用教程—Verilog HDL版(第四版)》,之所以选用该课本是因为该书在编写时一是注重实践、实用和创新能力的培养,各章节安排了许多针对性较强的习题和实验设计项目,使学生在课堂教学内容和教学效果上及时的得以消化和强化;二是注重编程速成和学习效率,该书打破目前HDL教材通行的编排方式,以电子线路设计为基点,从实例的介绍中引出Verilog语句语法内容,让学生能从整体结构上去理解HDL语言,更直观;三是注重相对独立性与系统性、完整性的有机结合,在章节安排中,不管是理论讲述安排还是实验配置都有很好的内在联系。

根据笔者所选课本特点,笔者在EDA技术课程的理论教学中,以课堂讲授和在软件中实现相关实例相结合的方法进行授课。根据学校安排的教学学时来组织教学内容,务必让学生在有限的学时内对EDA技术的理论知识有一个全面的认识。所选课本中所要讲授的内容主要包括EDA技术的概述、CPLD和FPGA的结构原理、Verilog语言的语法知识、QuartusII软件的介绍和使用等。理论教学时,让学生从学习EDA技术一开始就有机会将理论知识、实践操作和自主设计紧密联系起来。课本中共给出约60个实验及其相关的设计项目,这些项目涉及的技术领域宽阔,知识涉猎面广,实用针对性强,且自主创新意识的启示性好。讲解关于Verilog语言时,通过课本上一些简单而典型的Verilog HDL设计示例和电路模型,提出设计任务,从实际电路和实用背景下引出相关的可综合的Verilog HDL语言现象和语句规则,并加以深入浅出地说明,使学生从一开始就关注典型电路的构建、电路功能和电路描述与HDL描述的对应关系,通过理论的学习及紧随其后的仿真验证与硬件测试,能迅速掌握HDL描述与电路间的基本关系和设计方法,这样就极大的降低了HDL的学习难度,提高了学习效率,并能达到学以致用的目的。笔者所在院校学生在学习时普遍提出,对于课本上一些较为复杂的项目,不知道该如何下手。这些项目主要是一些综合性的题目,为了解决学生的疑问,在教学时注重综合性项目的层次化设计方法,即培养学生能将综合性项目划分为多个子模块,逐个模块来完成,简化了整体设计的难度。同时,在理论教学中,要注意课堂节奏,时刻关注学生对知识点的理解和反应,三本院校学生注意力差,更容易分神,故而更需在理论教学时,加强对课堂纪律的管理,与学生形成互动,加强教学效果。

为了使学生获得更多动手的机会,巩固理论知识,笔者在教学中适时将课本上的题目分配给学生在课后完成,书中的项目在设计时都安排了3~5个子系统,这样学生在完成时按照子系统的顺序来完成就可以很好的理清整体思路,设计的难度也就大大降低了,同时学生的动手能力也得到了加强。设计项目完成后通过考核的方式来评定成绩,并将考核成绩记入期终考试成绩,作为总评成绩的参考。

2.2EDA技术课程实践环节教学的探新

EDA技术课程的实践环节主要是通过在实验室结合软件和硬件平台来完成的,传统教学中,学生都是参照实验指导书的步骤来完成一些验证性的实验,对于设计性的实验,基础差的学生根本无从下手,故而笔者在教学中,根据教材内容编写了关于EDA技术的实验教材,实验内容分为五个层次来完成。第一层次(实验任务)属于验证性实验,实验要求是根据实验原理及该章的相关内容,完成指定的验证性实验。这一层次的实验通常提供详细的并被验证的设计源程序和实验方法,学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可,这使学生有一个初步的感性认识,同时也提高了实验的效率。第二层次则要求学生在上一实验基础上做一些改进和发挥,引导试验者自主融入课本出现的很多新知识,为自主性实践活动做必要的锻炼和准备。第三层次通常是提出自主设计的要求和任务,但仍需提供必要的提示和说明,第四或第五个实验层次是结合工程实际或历届电子设计竞赛赛题提出自主创新性设计的要求或探索性实践目标,这一层次的实验主要是针对那些基础比较好,并且对EDA技术兴趣浓厚的学生。教师根据学时数、教学实验的要求以及不同的学习对象,布置不通层次含不同任务的实验项目。每个实验完成后都要对学生所做内容进行检查,并将检查结果的情况作为平时成绩的参考记入学期末的总评成绩,对那些在实验中提出创新观点的学生,给予加分奖励,提高学生的积极性,鼓励他们多探讨,大胆提出新思想。

EDA技术的实践教学重在培养学生的动手能力及创新能力,三本院校学生在工作岗位的竞争中与一本、二本学生比较起来,可能会缺乏自信心。为培养三本院校学生自强,自信,自立,这就要求院校对学生动手能力及创新精神的培养中多投入,注重软硬件设施的配置,让学生能在课余有资源可利用。对于EDA技术,硬件平台的建立需要极大的投资,所以院校的管理支持与学生的能力提高密切相关,EDA教学改革的探索才能不断进行。

3三本院校EDA技术课程教学改革展望

笔者在现工作院校通过几届学生学习EDA技术的情况,并不断在该课程的教学中对其进行探索,将其探索的方法运用到教学中,达到了一定的教学效果。学生具备了一定的EDA编程能力。对于一些综合性的设计题目,大部分学生都能比较正确的编写相应的Verilog代码,得出时序波形,并能对所得结果进行正确的功能分析。同时,在层次化设计的项目中,能比较熟练的将图形设计方法和HDL文本设计方法结合在一起,降低设计难度,提高系统设计的可读性。

4三本院校深化EDA教学改革的建议

三本院校学生注重培养学生能将知识在社会中学有所用,“实践为主,重在应用”,所以教学中要注重课程联系实际,举一反三,紧密结合相关专业课程知识。根据目前EDA技术课程中所出现的一些问题,可突破传统教学中按照章节制定教学计划,安排教学进程的方法,采用模块化教学,将所学内容所涉及的知识点紧密的结合在一起,无需按部就班,这就要求教师对该课程的熟练程度达到一个很高的程度,在课前花大量的时间将所有内容串在一起,同时在教学时要对课堂时间有比较精细的把握。根据实际教学学时的限制,在授课过程中做出适时的调整,即要完成知识点的讲授,也要注意学生的接受程度,要在有限的时间内,以期学生能掌握最大的信息量。

另外,EDA技术课程教学中对于实践和创新能力的培养,可通过电子设计大赛来锻炼。近几年全国大学生电子设计大赛的比赛题目,有很多是关于EDA的或者是与EDA技术相关的。电子设计大赛是一种竞赛式的形式,更能激起学生挑战性,通过参赛,学生学习的目的性也会更明确,理论与实践也能很好的结合在一起,同时还能加强学生之间团队合作的能力。当然,如果能在电子设计大赛中获奖,学生的成就感加强,对学习的兴趣也就更强,而且兴趣也是学习最好的导师。三本院校学生在电子设计大赛中获奖,也是学生自己走向社会的一个非常重要的武器,获得成功竞争职位的机会也就更大了。

5结语

现在社会竞争日益强大,用人单位对本科毕业生的专业技能水平不断提高,尤其是实践能力和创新精神。三本院校的学生在与一本、二本的学生竞争中,无疑处于弱势,要想扭转局面,必须更注重学生动手能力的培养。EDA技术是一门渗透性很强的课程,对于社会中不同的专业,都需要EDA技术方面的人才,所以三本院校对EDA技术课程教学方法的探索,是为学生在人才市场上的竞争创造优势,让学生积极面对社会的压力,在竞争中成长和成熟。

参考文献

[1]王平.EDA课程教学方法的实践[J].机械制造与研究,2010.

[2]晏伯武,田嵩.EDA技术及其教学相关问题的探讨[J].黄石理工学院学报,2010,26(1):63-67.